• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd코드" 검색결과 621-640 / 986건

  • Decoder and Encoder
    값을 입력받아 7‐segment 출력을 위한 신호를 발생시키는 변환 회로이다.위의 7‐segment를 이용하여 9999이하의 이진수를 입력을 받아 LED로 바꾸어 주는 VHDL 코드가 ... 우리가 주목 할 점은 7‐segment 왼쪽 편으로 들어오는 입력 값이 총 4비트이고 bcd 값이다. 그리고 오른쪽으로 출력되는 있는 값은 7비트이다. ... 우리가 해야 할 점은 BCD값(0000~1001)에 값에 따라 0~9까지를 LED에 표현하는 것이다.entity decoder isport (A : in std_logic_vector
    리포트 | 17페이지 | 1,500원 | 등록일 2010.11.02
  • 7세그먼트 표시기 및 디코더
    BCD 코드는 그림 5-4에 나타낸 것과 같이 10진수의 각 숫자를 대응되는 2진수로 표현하는 코드이다. ... 예를 들어 10진수 715를 BCD 코드로 표현하려면 그림에서와 같이 숫자 7, 1, 5 각각에 대한 2진수 표현을 차례로 쓰면 된다.10진숫자BCD01234567890******* ... BCD-to-7세그먼트 디코더☞ BCD(Binary Coded Decimal) 코드수나 문자나 단어 또는 어떤 정보 등을 특정 기호 또는 심볼(symbol)들의 조합으로 표현하는 것을
    리포트 | 10페이지 | 1,500원 | 등록일 2007.09.03
  • 코드변환기
    .BCD-3 초과 코드변환1)BCD-3 초과 코드변환- BCD 가산기+감산기회로- 자기보수코드- BCD코드에 2진수의 3을 더함- BCD코드 + 0011 ▶ 3초과 코드- 예1) 0000 ... + 0011 => 0011- 예2) 0001 + 0011 => 01002)출력 함수식 간력화(카르노도 이용)3)회로도 작성BCD 코드의 3증코드 변환을 위한 회로도2. 2진 코드-그레이 ... 코드변환회로-코드변환 회로는 두 시스템이 서로 다른 2진 코드를 사용할 경우 두 시스템에서 사용하 는 코드를 서로 변환하여 두 시스템에서 하나의 코드를 이용할 수 있도록 하는 회로이다1
    리포트 | 6페이지 | 1,000원 | 등록일 2009.06.16
  • 27진 카운터 설계
    입력신호에 BDC코드 0001이 들어오면 FND에 1이라는 숫자를 표시할 수 있도록 b,c에 해당하는 쪽에 신호를 주어 동장시키고, 0010이 들어오면 FND에 2라는 숫자를 표시할 ... 최종 결과 보고서를 작성한다.4.관련이론7447 : BCD 입력신호를 받아 FND를 동작시키는 anode형 IC이다. ... Data Sheet1.명제10진 Counter IC인 7490과 BCD to 7-segment Decorderd인 7447을 이용하여 7-segment에 표시하는 27진 카운터를 설계하고
    리포트 | 8페이지 | 2,000원 | 등록일 2011.06.19
  • 24.전가산기,디코더 및 MUX
    , 기타 여러 가지 부호들을 부호가 없는 형태로 변환하는 회로이다.본 실험에서 확인한 디코더는 74LS04 와 74LS21을 사용하여, BCD 코드를 10진법으로 표현한 것으로 실험 ... 약간의 오차가 있었지만, 본 측정값은 0.01 단위로 반올림을 한 값이며, 이를 감안하더라도 선택된 출력값과 나머지 출력값의 차이는 약 28배 이상의 차이를 보였다.이로서, 입력된 BCD ... 최소 25배 이상의 차이가 나므로 전가산기의 기능을 수행함을 실험적으로 확인하였다.3) MSI 소자로서 디코더에 대하여 조사하고 실험에서 얻은 기능과 비교하라.디코더란 이진부호, BCD부호
    리포트 | 3페이지 | 1,000원 | 등록일 2010.12.12
  • [디지털실험]10진수디코더드라이버
    Decimal Numbers)BCD 코드란 10진수 각 자리의 수를 4bit의 2진수로 표현한 것이다.BCD 코드에서 본래 2진수 형태로 10진수 0~9 를 나타낸다. ... sheet..PAGE:3실험 목적8421 BCD 코드를 학습한다.8421 BCD 디코더의 연산을 학습한다...PAGE:48421 2진수로 코드화된 10진수(8421 Binary-coded ... ..PAGE:1BCD 10진수 디코더 드라이버..PAGE:2차 례1. 8421 2진수로 코드화된 10진수2. 8421 BCD 진리표3. 8421 BCD 디코더4. 7445 data
    리포트 | 9페이지 | 1,500원 | 등록일 2006.03.23
  • 엔코더
    -Absolute형 로터리 엔코더회전축(Shaft)의 0°지점을 기준으로 360°를 일정한 비율로 분할하고 그 분할된 각도마다 인식 가능한 전 기적인 디지털 코드(BCD BINARY ... GRAY등)를 지정하여 회전축(Shaft)의 회전위치(각도)에 따라 지정된 디지 털 코드가 출력되도록 한 절대 회전각도 검출용 장치(센서)이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.07
  • [기계공학실험]도립진자실험
    대표적인 것으로는 10진수를 2진수로 변환시키는 10진2진인코더, 10진수를 2진화10진코드(BCD code)로 변환시키는 10진-BCD인코더 등이 잘 알려져 있다.예를 들어 0∼9의 ... (BCD, Binary, Gray 코드 등)를 지정하여, 회전축(Shaft)의 회전위치(각도)에 따라 지정된 디지털 코드로 출력되도록 한 절대 회전 각도 검출용 장치(센서). ... 장치를 말함.① 업솔루트(Absolute)형 로타리 엔코더회전축(Shaft)의 0°지점을 기준으로 360°를 일정한 비율로 분할하고, 그 분할된 각도마다 인식 가능한 전기적인 디지털 코드
    리포트 | 19페이지 | 1,500원 | 등록일 2009.12.22
  • [공학]자료구조의 개념
    등이다.(1) 2진화 10진 코드(BCD :Binary Coded Decimal Code)2진화 10진 코드는 2진수를 사용하는 가장 보편화된 코드이다. ... 한다.컴퓨터 자료의 외부적 표현으로 현재까지 가장 많이 사용 되는 코드는 6비트 BCD(Binary Coded Decimal) 코드와 7비트의 ASCII(American Standard ... 단위 등을 표현하면 다음과 같다.(2) 표준 2진화 10진 코드(SB가중치 코드에는 액세스 3코드(access 3code), 2중 5코드, 3중 5코드, 시프트 카운터 코드(shift
    리포트 | 22페이지 | 2,000원 | 등록일 2007.03.29
  • BCD 7-Segment Decoder 설계제안서
    명제▶ 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하고, 2진 입력을 BCD코드로 디코드하는 과정을 눈으로 ... 각 칩의 명칭과 기능▶ 7447(BCD to 7 Segment Decoder/Driver)7447(74LS47)은 BCD입력을 받아서 FND에 숫자를 출력해 주는 FND 구동용 IC
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • 비동기카운터
    이 카운터는 10개의 출력상태를 가지므로 MOD-10카운터라고 하고, 10개 상태의 시퀀스가 BCD 코드이기 때문에 BCD 카운터라고도 한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2012.12.04
  • 논리설계 -인코더 디코더를 MAX-PLUS II 로 실습을 한후 결과 보고서
    그래서 2진수 4비트를 1 그룹으로 하여, 10진수의 형태로 취급이 용이하게 코드화하여 만든 것이 BCD 코드입니다. ... 여기서 10진수를 2진수나 BCD 코드로 변화하는 조작을 인코드라 하며, 이를 수행하는 회로를 인코더라고 한다. ... ★인코더10진수를 BCD나 2진수 코드로 변환하는 회로가 인코더인데, 이 회로의 설계방법을 설명하면 10진수 5를 입력하였을 때 인코더 출력은로 되게 하고, 10진수 9를 입력하면
    리포트 | 7페이지 | 1,000원 | 등록일 2009.12.15
  • 6장 인코더와 디코더, 7장 멀티플렉서와 디멀티플렉서
    그림 6.3은 BCD-to-3 초과 코드 변환회로이다. ... 그림 6.1에서 데이터 선택 입력(S)의 2비트 2진 코드는 여러 개의 입력 중에서 선택된 입력 데이터만 출력선으로 보낸다. 2진 0(S1=0, S0=0)이 입력되면 입력 데이터 중에서
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.10
  • Homework 디지털공학
    y값을 2‘s complement 해주는 코드를 추가해서 그대로 실행시키면 subtractor는 아주 더 크므로 sign-extension이 필요하다. ... 8], c, x[7], y[7], c_out[7]);endmodule2) 8-bit signed subtractor with sign extension: 위의 full-adder 코드에 ... Design and Simulate "2-digit BCD Adder"1) First, design and simulate "1-digit BCD adder"module adder(
    리포트 | 4페이지 | 4,900원 | 등록일 2010.10.21
  • 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 디지털회로실험 예비보고서
    세 번째 실험은 74147 TTL소자를 이용한 10진 부호를 BCD코드로 변환하는 엔코더회로 실험이다. ... 이때 입력신호가 하나가 1일 경우 나머지 8개의 입력은 0이 입력시켜 BCD코드로 출력결과를 나타내게 된다. ... 우리가 실험에서 사용하는 74147 TTL회로에서는 이진화 십진수인 BCD코드로 출력신호가 나타나는 것을 확인할 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.05.23
  • 인코더와 디코더 실험예비보고서
    예비보고서(1) BCD 코드에서 7세그먼트의 각 요소를 on 시키는 Boole 논리함수[식 (9)와 같은 형태]를 모두 쓰라.(2) BCD/7-segment 디코더/드라이버 (74LS47 ... 만약 4개의 7-Segment를 제어 시 BCD 코드로 10을 출력 한다면 RBI를 사용하지 않는다면 출력이 “0010”이 되겠지만 1보다 위의 코드 경우 RBI를 Low로 set ... )를 인터넷에서 찾아 논리 심볼과 pin 구성도를 그려라.①Logic Symbol.② pin 구성도.※ RBI 포트가 Low인 경우 0에 해당하는 BCD 코드가 입력되었을 때, 7-
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.15
  • N체분계수기와 10진 계수기
    표현하는데 적어도 4비트가 필요하므로 10진 카운터의 대표적인 것은 BCD코드이다. 10진 카운터의 카운트 순서는 2진 카운터와 비슷하다. ... 단지 9(=1001)에서 0(=0000)으로 변화하도록 되어있다. < 표 1 >과 < 그림 1 >에 BCD코드의 표와 상태도가 있다.카운터의 순서DCBA0*************11010001010110011110001001 ... BCD 카운터는 앞에서 설명한 비동기식 10진 카운터와 같은 설계과정을 거친다. 먼저 BCD 카운터의 < 표 2 >와 같은 상태표를 작성한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2010.05.23
  • 실험4.디코더인코더 및 다중화기역다중화기 7세그먼트 디코더
    대표적인 것으로는 10진수를 2진수로 변환시키는 10진2진인코더, 10진수를 2진화10진코드(BCD code)로 변환시키는 10진-BCD인코더 등이 잘 알려져 있다. ... 디코더/인코더 및 다중화기/역다중화기,7세그먼트 디코더학 번2003040520성 명우 재 홍관련이론○ 디코더(Decoder)디코더(decoder)란 n비트의 2진 코드(cod) 값을 ... -7-Segment Decoder74247BCD-to-7-Segment Decoder74248BCD-to-7-Segment Decoder74445BCD-to-Decimal Decoder
    리포트 | 6페이지 | 1,500원 | 등록일 2008.05.25
  • 전기전자회로실험 가산기만들기
    코드 예제 ) ( 단 , non BCD 코드는 Don ’ t care condition 을 사용하여라 .) ☞ K - MAP 은 그룹의 크기가 클수록 단순해진다 . yminterm ... 10 1 1 1 1 1 X X X X X X 0 0 0 0 0 x F1 (w,x,y,z) = w + x z + x y G = 7 Input : F1(w,x,y,z) 은 5 이상의 BCD
    리포트 | 35페이지 | 2,000원 | 등록일 2012.11.01
  • 캡스톤 설계((지하주차장)
    각 7-segments는 8개의 입력이 필요로 하는데 ATmega128의 제한된 I/O 입력 때문에 BCD코드를 7-segment 입력으로 바꿔주는 74LS48을 사용하여 한자리의 ... 정수 값이 들어오면 BCD값으로 변환시켜 74LS48이 다시 7-segment 신호로 바꿔주;i ... 포트 사용포트명PORTAPORTBPORTCPORTDPORTEPORTF연결소자빨간색,파란색LED모터적외선센서초록색,현황판LED7-segment적외선센서스위치LED회로도구현회로프로그램 코드
    리포트 | 36페이지 | 4,000원 | 등록일 2012.05.28
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:38 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감