• 통큰쿠폰이벤트-통합
  • 통합검색(629)
  • 리포트(540)
  • 시험자료(54)
  • 자기소개서(24)
  • 서식(7)
  • 방송통신대(3)
  • 논문(1)

"엘리베이터 회로" 검색결과 1-20 / 629건

  • 서강대학교 21년도 디지털회로설계 - 엘리베이터 설계 프로젝트 보고서(A+자료)
    2021-1학기디지털 회로 설계기말프로젝트엘리베이터 설계과목명 디지털회로설계전공 전자공학학번이름날짜 2021.06.22(우선 input과 output을 좀 간단하게 up_2, elev ... 우선 현실의 엘리베이터처럼, 1층에서 4층으로 올라가는 도중에 up_3이나 elev_3이 눌린다면 3층에서 멈춘 후, 4층으로 올라가야 할 것이다. ... 설계 과정, State Diagram 및 설명현재 층수를 state에 포함시킨다면 state가 너무 많아질 것 같아서, 일단 엘리베이터의 동작 상태 (m_elev_op에 해당하는)에
    리포트 | 10페이지 | 2,000원 | 등록일 2021.06.30 | 수정일 2022.09.23
  • 디지털논리회로 엘레베이터 제어기 설계
    있는 층을 표시하는 7 segment가 있다. • 엘리베이터가 없는 층에서 엘리베이터를 부르면 엘리베이터는 한 층씩 이동하여 엘리베이터를 부른 층으로 이동한다. • 엘리베이터가 이동하는 ... 프로젝트 개요:• 각 층에는 엘리베이터가 그곳에 있는 지를 나타내는 LED가 있고, 그 층에 엘리베이터가없을 때 엘리베이터를 부를 수 있는 한 개 의 버튼이 있다. • 또한 엘리베이터가 ... 동안 엘리베이터가 지나가는 층 수는 7 segment에 표시되어야 한다.• 엘리베이터는 초당 한층 씩 움직일 수 있다.
    리포트 | 5페이지 | 10,000원 | 등록일 2018.03.24 | 수정일 2018.03.28
  • 응용논리회로 엘레베이터 VHDL 레포트
    응용논리회로-Term project--Elevator controller-Block diagramVHDL 코드library IEEE;use IEEE.std_logic_1164.all ... IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;use ieee.numeric_std.all;entity ele is port (--------elevator ... std_logic;--input floor down directionsignal si_F1, si_F2, si_F3, si_F4, si_F5 : std_logic;--input inside elevator
    리포트 | 29페이지 | 2,500원 | 등록일 2013.06.15
  • 엘리베이터 회로
    엘리베이터 만드는 회로도 ORCAD 자료입니다.자료는 진짜 최고입니다.정말 자부합니다.이 회로대로 만들면 진짜 최고의 엘리베이터가 만들어집니다.5천원이 아깝지 않을 자료입니다.회로도만
    리포트 | 1페이지 | 8,000원 | 등록일 2008.12.14 | 수정일 2024.06.17
  • 논리회로2 `엘리베이터/엘레베이터` 설계&제작 발표자료
    주 제 조합논리회로 와 순차논리회로 를 응용하여 설계 해본 카운터 를 활용하기 위해 프로젝트 주제로 엘리베이터 를 선정 . ... 완성 , PPT 제작 완성 , 발표 준비 [5 주차 ][ 엘리베이터 ] - 4 - 2. ... 회 로 4 전체회로 [ 전체회로 ]- 19 - 6. 동작화면 [ 초기세팅 ] 1 동작화면 ( 초기세팅 )- 20 - 6.
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.12
  • [전자회로]VHDL을 이용한 엘레베이터 설계
    Elevator 설계 개요.설계에 사용된 회로Latch 회로 State 회로Latch 회로(1)f(4 downto 0) : floor select button f_lat(4 downto ... x) = '1'f_lat(x) = '0'f_dis(x) = '0'x층에 도달 후State 회로(1)f_led(4 downto 0): 층을 LED로 출력 down_en: elevator ... 0) : latch enable signal f_dis(4 downto 0) : latch disable signalLatch 회로(2)f(x)f_lat(x) = '1'f_dis(
    리포트 | 8페이지 | 3,000원 | 등록일 2003.11.13
  • verilog + fpga 엘리베이터 구현 코드 (층수, 문 열림닫힘, 화살표 등)
    "verilog + fpga 엘리베이터 구현 코드 (층수, 문 열림닫힘, 화살표 등)"에 대한 내용입니다.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.10.12 | 수정일 2023.11.28
  • SK하이닉스 회로설계직 합격자소서
    디지털 회로 실험 프로젝트로 논리게이트나 verilog를 이용하는 프로젝트에서 논리게이트를 이용해 엘리베이터 미니어처 제작을 시도했습니다. ... 제 엘리베이터를 보시고, 담당 교수님도 황당해하시기는 했지만, 좋은 도전이고, 실패 안에서 새로운 것을 얻었다는 것이 중요하다고 말씀해주셨을 정도의 도전이었고, 전자과라면 한 번쯤 ... 그 후 아날로그 회로뿐만 아니라 디지털회로 설계 수업에서도 설계하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.11.19
  • 7주차 예비보고서- 디지털 시스템 설계 및 실험
    엘리베이터- 7-Segment에 현재 층수를 표시한다.- 현재 층수보다 높은 층수로 올라가도록 입력을 넣으면 목표 층수까지 UP 카운트를 동작시킨다.- 현재 층수보다 낮은 층수로 내려가도록 ... 출력 결과를 Q1 플립플롭의 클럭 입력에 연결하고, Q1 플립플롭의결과를 Q2 플립플롭의 클럭 입력에 연결하여 각각이 2분주 되도록 회로가 구성되어 있다. ... Q1과 Q2의 결과도 전 플립플롭의 1/2 주파수를 갖는 파형이 발생하는 것을 알 수 있다.위의 논리 회로는 주파수 분할 기능뿐만 아니라 2진 카운터로도 동작한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    엔코더는 음성 신호 처리, 아날로그 신호의 디지털화에 적용되며, 엘리베이터의 스위치를 누르면 LED가 표시되는 것과 직렬 데이터를 통신라인의 특성에 맞추는 데에도 엔코더가 사용된다. ... 논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 예비 이론(1) 디코더디코더는 또 다른 공통적으로 사용되는 유형의 집적 회로이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 한화시스템 전자직 합격자소서
    호출 기능을 개선하여 휴대폰으로도 엘리베이터 호출이 가능하게 만든 경험이 있습니다.최근 신축되고 있는 아파트에는 내부에서 엘리베이터를 호출할 수 있는 기능이 있는데, 외부에서도 미리 ... 회로 해석과 전자기 해석을 통합하는 신경망 모델을 구축하여, RFID 등의 회로 및 전자기 구조가 통합된 시스템의 설계 효율 개선에 적용하는 것을 목표로 했습니다.기존 RFID 시스템 ... 호출하면 편할 것이라 생각하여 기존의 기능을 개선할 것을 제안했습니다.구현함에 있어 엘리베이터 호출 기능 남용이라는 난관에 봉착했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2024.02.03
  • 승강기기사필기요점
    시퀀스 밸브= 2개이상의 분기회로를 가진 회로내에서 작동순서를 제어하는밸브미터인 회로= 액추에이터 입구 쪽 관로에 유량제어 밸브를 부착하고 유량을 교축하여 속도를 제어하는 회로이다미터아웃 ... 회로= 액추에이터로부터 유출되는 작동유의 출구 쪽 과로에 유량제어 밸브를 직렬로 부착하여 속도를 제어하는 회로이다? ... 릴리프밸브= 회로내의 최고 압력을 낮추어 압력을 일정하게 하는 밸브2 . 카운터 밸런스 밸브= 회로의 일부에 배압을 발생시키고자 할때 사용하는 밸브3 .
    시험자료 | 33페이지 | 3,000원 | 등록일 2021.03.09 | 수정일 2023.07.26
  • 4과목 회로이론 및 제어공학
    없는 코일 - 비선형 : 다이오드(단방향성), 철심있는 코일③ 직류 전기 회로 (선형) - 직렬 회로 – 전류 일정 – 전압강하 법칙 (KVL) ... 회로 이론 기초① 분류기 (병렬 접속) 내부 저항 배율기 (직렬 접속) ② 소자 - 능동 : (+) 값만 갖는다 - 선형 : 저항 (비례성), 철심 ... - 병렬 회로 – 전압 일정 – 전류분배 법칙 (KCL)④ 파형 평균값 파형 실효값# 파형 (파형률) (파고율) 평균값
    시험자료 | 4페이지 | 9,000원 | 등록일 2022.12.21
  • 승강기기능사 필기요점
    교류회로에서 동위상인 회로 :저항만의 조합회로★ 배빗 채움 고정: 1가닥? P= VIcostheta? ... 블리드오프 회로: 효율이 비교적 높다? ... 예비전원을 2시간 이상 작동할 것■교류엘리베이터 제어방식-교류 2단 속도 제어방식-교류 귀한 전압 제어방식-가변전압 가변주파수 제어방식■간접식 유압엘리베이터= 로프-실린더를 설치하기위한
    시험자료 | 9페이지 | 2,000원 | 등록일 2022.02.22 | 수정일 2022.02.25
  • 현대엘리베이터 전기설계직 합격자소서
    자격증 공부 및 학교수업인 전기기기에서 전동기에 대해 공부했고 전력공학과 회로이론 그리고 제어공학 공부는 가변전압 가변주파수 제어회로 설계 시에 도움이 될 것입니다. ... 그뿐만 아니라 스마트시대에 걸맞도록 소비전력을 자체 절감하는 스마트한 엘리베이터나 다양한 시스템도 설계하고 싶습니다.현대엘리베이터에 입사하면 처음엔 선배님들을 보조하며 업무를 배우고 ... 현대엘리베이터는 전부터 입사하고 싶던 회사였고 전기설계는 이렇게 고민해온 저에게 적합한 직무이자 꼭 하고 싶은 직무입니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.09.18
  • 승강기기사 실기 필답형 요약 및 정리본 입니다. 책 안사셔도 됩니다!
    대하여 간단히 설명하시오(나) 그림은 인버터 구성회로도이다. ... ]슬랙로프 세이프티( 안전밸브 ) 는 일종의 압력조정 밸브로서 회로의 압력이 상용압력의 ( 125 ) %를 초과하면 분기회로를 열어 기름을 탱크로 되돌려 보내는 역할을 한다. ... 있는 거리에 설치하는 것은 ]리미트 스위치[ 핸드레일 인입구 스위치 점검사항 ]핸드레일 인입구에 신체의 일부 또는 이물질이 낄 경우 핸드레일 인입구 스위치의 접점 개방을 통해 안전회로
    시험자료 | 9페이지 | 3,000원 | 등록일 2020.08.18
  • 건축기사 필기정리 건축설비 전기,조명,피뢰,운송방식
    모든 기기들은 안전하게 사용하기 위해 고장시 피해 정도를 줄이고 신속히 보수할 수 있도록 분기회로를 설치한다.- 대규모 건물에서 전등과 콘센트는 별도의 회로로 구성한다.- 분기회로용 ... 50A를 초과시 별도의 전용회로를 두도록 한다.- 습기가 있는 아웃렛은 별도 회로로 하도록 한다.- 전압 강하는 2% 이하로 한다.- 각 분기회로의 부하 균형을 좋게 하도록 고려한다 ... 개폐기는 나이프 스위치나 노퓨즈 브레이커를 사용한다.- 분기회로에는 15A, 20A, 30A, 50A 등이 있으며, 분기회로로 보통 15A가 적당하다.- 단, 기구 하나의 용량이
    시험자료 | 21페이지 | 6,000원 | 등록일 2021.07.23
  • 한국미쓰비시엘리베이터 자기소개서, 한국미쓰비시엘리베이터 면접질문
    예를 들어 안전회로 동작의 오류가 원인이라면 안전라인의 전기회로부분을 도통시험 및 정격퓨즈 확인을 통해 도면에 맞게 작동이 되는지 파악한 후 시도하여 조치를 취했습니다. ... 한국미쓰비시엘리베이터와 함께 성장할 젊은 인재가 되겠습니다.2. ... 지원동기 및 장래희망한국미쓰비시엘리베이터는 한국의 경제성장과 발맞추어 한국시장에서의 사업규모를 확대해나가고 있습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.01.31
  • 비상용 예비발전설비
    설비, 방화문, 제연커텐, 피난 계단 등2) 자위상 필요한 곳(1) 사무실, 은행, 빌딩전산실, 대기실, 현금 취급소, 엘리베이터, 통신OA 기기 등(2) 백화점금전 등록기, 판매장 ... , 계단, 복도, 냉동기 설비 등(3) 종합 병원수술실, 중환자실, 병실 복도, 환자용 엘리베이터, 입관냉동실 등(4) 극장, 복도, 객실, 방송실, 영사실 등(5) 공장사출생산 라인 ... 예비 전원 설비의 구비 조건(1) 정전 발생 후 10초 이내에 전압을 확립하여 30분 이상 안정적으로 전원을공급해야한다.(2) 비상 엘리베이터 및 소방 제연 설비가 있는 경우에는 1시간
    리포트 | 11페이지 | 2,500원 | 등록일 2022.04.21
  • 2.소방설비전기(실기)-가닥수 및 시공실무
    내에서 1000[m2] 이하로 할수 있다.④ 지하구의 경우 하나의 경계구역의 길이는 700[m] 이하로 할 것(2) 수직적 개념의 경계구역계단,경사로(에스컬레이터 경사로 포함), 엘리베이터권상기실 ... 17개면 20회로, 경계구역수가 26개면 30회로의 수신기를 선정한다.④ 수신기의 회로규격 : 5, 10, 15, 20, 25, 30, ……의 5회로 간격으로 제조된다.2) 경계구역의 ... 즉, 수신기에 연결된 회선(회로)수가 17개라면 당해 특정소방대상물의 경계구역수는 17개가 된다.③ 수신기 규격 선정시 최대 경계구역수에 여유율을 가산하여 선정한다.예를들어, 경계구역수가
    시험자료 | 139페이지 | 5,000원 | 등록일 2021.09.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대