• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd코드" 검색결과 421-440 / 986건

  • 7.복호기와 부호기[결과]
    Excess-3 code은 기존의 BCD 코드에 3을 더한 코드인데, 위의 표에서 BCD 코드와 비교해보면 시뮬레이션 결과가 정확함을 알 수 있다.(5) 7 segment 표시기를 ... 실험결론 및 고찰- 이번 실험은 저번 실험으로부터 알아본 카운터를 이용하여 디코딩과 인코딩의 코드변환 동작에 대해 이해하는 실험이었다. ... 또한 입력 A'B일 때는 B가 필요한 입력임을 알 수 있었다.(3) 10진 디코더를 갖춘 BCD 카운터①시뮬레이션 결과☞ 이번실험에서는 위와 같이 두 개의 IC소자를 사용하게 되는데
    리포트 | 7페이지 | 1,000원 | 등록일 2011.07.05
  • 컴퓨터의 2진법사용
    BCD코드(8421코드), 3초과코드, 그레이코드에 대해 설명하고,a. 10진수 “637”을 BCD 코드로 변환하고,b. 변환된 BCD 코드를 3초과코드로 변환하여c. ... 변환된 3초과코드를 그레이코드로 변환하시오.▶ BCD코드(binary-coded decimal code)BCD코드는 2진화 10진수라고도 부르며 10진수를 표시하는 2진 코드이다. ... 컴퓨터에서는 대게의 사용자가 BCD코드 형태로 데이터를 입력하며 이 코드가 컴퓨터 내부에서 2진수로 변환되어 저장과 연산이 시행 되도록 도와준다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.04.14
  • 논리회로실험 실험5 Decoder & Encoder 결과보고서
    일치하는 실험결과를 얻을 수 있었다. 10진수입력이 3초과 코드로 정확히 변환되었다.3초과코드BCD코드에서 3를 더한 코드이므로 결과 코드 값에서 3을 빼면 BCD코드와 같다. ... 나머지 하나는 5를 의미하여 0~4까지의 입력과 5입력의 조합으로 0~9까지의 10진수 수를 입력한다.EXCESS-3코드BCD코드에 3을 더한 코드로 10진수를 BCD코드로 변환한 ... 예상과 같이 선택신호 SW1, SW2, SW3, SW4의 BCD코드에 의해 어떤 입력을 출력할지 결정되었고 그 결과를 LED로 확인 할 수 있다.- 10이 넘어가는 2진수는 BCD코드에서
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    커패시터 양단의 전압은 threads hold로 사용된다.지연 시간 W =1.1 *RC 식으로서 구할수 있다.(5)74ls47 7segment-74ls47의 진리표BCD코드 4자리를
    리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • 전자공학실험1 예비(7장)
    한다.1) 다음 그림 구조를 갖는 parallel-to-Serial Communication 회로를 이해하고 부품리스트에 나온 IC들의 기능과 핀 배치도를 조사하여 작성하라.< BCD ... 멀티플렉서의 동작과 기능을 익히고 여러 소스의 데이터를 한 개의 bus를 통해 전송하는 방법을 이해한다.내용디코더 : n비트 입력의 코드 체계를 최대출력 단자에 다른 코드 체계로 변환하는 ... Binary Decoding : 74139 dual 2-to-4 decoder가장 일반적인 형태의 디코더는 n-to-Binart decoder로 n-bit 이진수로 표현된 입력코드
    리포트 | 8페이지 | 1,000원 | 등록일 2012.04.08
  • 실험(1) 디코더 결과보고서
    이론값을 구해보니 실험값과 같음을 알 수 있었다.이진수를 BCD 코드로 encoding 하는 것을 확인하였다. ... 그리고 binary가 10이 되는 순간 BCD의 10의 자리에 변화가 생겼다. 회로가 제대로 작동하는 것을 알 수 있었고, 제대로 코드가 바뀌는 것도 확인할 수 있었다.4. ... 네 자리씩 끊어서 읽는 BCD 코드의 특징에 따라, 1의 자리의 수는 첫 번째 네 자리에 속하고, 십의 자리는 그 다음 네 자리, 백의 자리는 그 다음 네 자리에 속해야 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.06.21
  • DECODER, ENCODER
    http://www.eetkorea.com/SEARCH/ART/FPGA.HTM" FPGA 에디션 2.0'NVIDIA8800GT와 연동되어사용되고 있는 Xilinx-ML555실험목적BCD코드로 ... 0000110000000000111000000100101100000100011110000011010011000010001011100001010110110000110011111000011110001100010001001110001001Selector가 11일 때 순차적으로 증가하는 이진수를 표시하는 디코더▶ Describe its functional behaviors4비트 BCD코드가 ... 한 개의 7-segment표시창은 0부터 9까지 표시하며 네 개의 표시창을 합하면 0000에서부터 9999까지 표시할 수 있다.Xilinx ISE Webpack의 기능▶ VHDL 코드
    리포트 | 13페이지 | 1,000원 | 등록일 2010.03.26
  • 실험7예비.Decoder&Encoder
    출력 파형7 Segment 표시기를 갖는 BCD 카운터회로 SEQ 회로 \* ARABIC 5. 7-segment 표시기를 갖는 BCD 카운터그림 SEQ 그림 \* ARABIC 7. ... 출력 파형인코딩 – 10진 / Excess-3 코드회로 SEQ 회로 \* ARABIC 4. ... 목 적Counter를 이용해서 Decoding과 Encoding의 코드 변환 동작을 실험하고, 동작 원리를 이해한다.2.
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.27
  • 73진 엔진 카운터
    관련이론3.1 74LS47 - BCD to 7-Segment Decode이 소자는 BCD to 7-Segment decoder로서 74LS90으로부터 10진수에 해당되는 BCD코드를 ... 단자명칭 및 기능은 다음과 같다. (4.2 그림참조)① �궜�, �궜�, �궜�, �궜� : 카운터의 BCD 출력② CLK1, CLK2 : 2진 카운터 및 5진 카운터의 클록 입력③ ... 사용 소자 사양4.1 74LS47 - BCD to 7-Segment Decoder (2개)4.2 74LS90 - Decade and Binary Counters (2개)4.3 74LS08
    리포트 | 6페이지 | 3,500원 | 등록일 2012.10.30
  • [디지털 논리회로 실험] 9장. 인코더와 디코더 결과레포트
    2진수를 디코딩하여 4개의 10진수 출력을 표현하는 실험을 하였다.9.4에서는 7447(7-세그먼트 디코더 ic)칩을 이용하여 실험을 하였다. 7-세그먼트 디코더의 입력은 10진 BCD코드이며
    리포트 | 2페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 디코더&인코더 에 관한 논리회로 실험 결과 보고서
    코드나 3초과 코드 등 2진수로 만들 수 있는데, 위 실험은 십진수를 3초과 코드로 변환 하는 실험이다.3초과 코드는 각 10진 기호에 대한 코드워드는 대응하는 BCD 코드워드 + ... ⇒이 회로는 7490과 7447을 이용하여 구성한 회로이다.7490은 BCD 2진 코드로 나타내는데 이 코드를 7segment 코드로 변환하기 위해서는 7447이 필요하다. ... Switch 0을 연결하고 D, C, B, A의 출력값을 측정하면DCBA0011이 나오는데 앞에서 정의한 바와 같이 BCD 0000+값이 나타났다는 것을 알 수 있었다.
    리포트 | 9페이지 | 1,000원 | 등록일 2010.11.30
  • 컴퓨터활용능력(컴활) 1급 필기 기출 핵심개념 요약
    PC에서 많이 사용된다.- EBCDIC 코드 : BCD 코드를 확장한 코드 체계로 256가지의 문자를 표현할 수 있다. ... 자료의 표현 방식- BCD 코드 : 64가지의 문자를 표현할 수 있으나 영문 소문자는 표현할 수 없다.- ASCII 코드 : 128가지의 문자를 표현할 수 있으며 주로 데이터 통신용이나 ... 에러 교정은 불가능- 해밍코드 : 에러 검출 및 교정이 가능한 코드10.
    시험자료 | 9페이지 | 2,000원 | 등록일 2017.03.09
  • [디지털회로실험] (실험5) 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 / (실험6) 7-세그먼트 디코더
    시뮬레이션 결과실험 5-(3) 74147을 이용하여 10개의 입력을 BCD코드로 변환하는 엔코더? 회로도? 시뮬레이션 결과실험 6-(1) 7-세그먼트 디코더 회로의 동작 확인?
    리포트 | 3페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • FPGA 디지털 시스템 설계 : 16:1 Mux 및 Hex to 7 segment 설계
    BCD-to-7 Segment Decoder에 기능 추가하여 Hexadecimal-to-7 Segment Decoder Module 설계예제에 나온 BCD-to-7 Segment Decoder는 ... 연결은 이름에 의한 연결을 이용하여 포트별로 연결시켰다.16개의 입력 신호 파형이 모두 서로 달라야 출력 파형 변화를 관측하기 쉽기 때문에, 입력 신호들의 파형 모양이 다르도록 코드를 ... a~f이외의 다른 알파벳을 추가하려면 5bit 이상으로 입력 bit 수를 늘려야 한다.Module에 쓰인 always@는 괄호 안에 쓰인 포트들의 값이 변할 때 그 아래에 쓰인 코드
    리포트 | 4페이지 | 1,000원 | 등록일 2012.06.18
  • [예비]실험5. Decoder & Encoder
    실험2.- BCD코드를 10진수로 변환하는 74HC42 decorder를 이용하여 회로를 구성한다. ... 실험3.- NAND gate와 not gate를 이용하여 밑의 10진수를 Excess-3코드로 변환하는 encorder를 구성한다. excess-3 코드BCD코드에 3만큼 큰 값을 ... SW1부터 SW4까지 차례대로 4비트 BCD코드의 가장 하위 1번째 비트부터 4번째 비트를 나타낸다. 출력 단에는 저항과 LED를 이용하여 출력 값을 관찰하고 기록한다.?
    리포트 | 5페이지 | 1,500원 | 등록일 2013.09.28
  • 컴퓨터 네트워크 연습문제 풀이
    , (b)excess-3코드 (c)2421코드 (d)이진수로 각각 나타내 보아라.BCD 1000 0110 0010 0000XS-3 1011 1001 0101 00112421 1110 ... 초기의 상태가 1000이라고 할 때, 각 시프트 후의 네 개 플립플롭 상태를 나열하여라.0null32space65-90대문자97-122소문자숫자BCD코드 앞에 011 붙임16) 10비트 ... F(A,B,C,D) = ∑(4,6,7,15)1111F=BCD+A'BD'b. F(A,B,C,D) = ∑(3,7,11,13,14,15)111111F=CD+ABC+ABDc.
    시험자료 | 3페이지 | 3,000원 | 등록일 2015.04.24
  • Encoder와 Decoder의 기능 예비보고서08
    BCD-7 세그먼트 디코더(BCD to 7-Segment diode)는 BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력 ... 이것은 또한 기호나 숫자를 여러 가지 기호나 알파벳 문자를 코드화하기도 하고 기호나 숫자를 코드화 하는 것을 인코딩이라 한다. ... 같은 코드로 변환시키는 조합회로이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.10
  • 논리회로실험 실험8 counter 결과보고서
    74CH90은 BCD 카운터로 클럭이 입력될 때마다 BCD코드로 1씩 증가하였다.? BCD코드는 0~9까지 숫자를 나타낼 수 있으며 4bit를 사용한다.? ... 구성 사진 :- 실험 3-1과 3-2는 같은 실험이다.- 7490을 이용한 BCD Counter를 통해 0~9까지의 값을 BCD코드로 얻을 수 있다.- 7490에서 출력한 BCD코드는 ... 74HC90을 사용하여 BCD코드를 생성하고 그 코드BCD-TO-SEVEN-SEGMENT DECODERS/DRIVERS와 7SEGMENT로 표현하는 실험을 하였다.?
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • 디지털로직실험 11장 가산기와 크기 비교기
    이 진리표 또한 9를 초과하면 보정을 하였지만 3초과 코드이므로 BCD에서 더한 6의 값에 3을 더해 9를 더해서 보정하는 것을 알 수 있었다.● 회로 구성 사진BCD회로 구성 사진3초과코드 ... => 위 진리표를 살펴 보면 BCD코드의 표현 수의 범위인 0~9까지에서 비교기를 이용하여 수의 범위에 0000을 더하여 그대로 같은 값이 출력 됨을 알수 있다. ... 회로 구성 사진=> 위 사진은 7483A의 가산지와 7485비교기를 이용하여 BCD와 3초과 코드를 구성한 회로도 사진이다.● 결과 및 결론평가 및 복습문제1.
    리포트 | 8페이지 | 4,500원 | 등록일 2013.06.22 | 수정일 2021.02.02
  • 디지털회로실험 06장. 2진 비교기
    그림 6.4회로에서 종속입력을 “0”으로 놓는 이유를 설명하라.- 예를들어 10진수 25와 73의 2자리수를 비교할 경우 BCD코드로는(0010 0101)BCD와 (0111 0011 ... 코드의 비교기로서 사용된다. ... 구성할 수 있다.2. 4비트 2진 비교기 IC에는 74LS85가 있다. 74LS85는 비교입력으로서 A0, A1, A2, A3와 B0, B1, B2, B3가 있고, 4비트 또는 BCD
    리포트 | 4페이지 | 1,000원 | 등록일 2014.04.07
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 01일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:36 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감