• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd코드" 검색결과 221-240 / 986건

  • 10진 bcd 부호 변환기
    , 1101, 1110, 1111은 사용하지 않는다.8421 BCD코드는 디지털 시스템 에 널리 사용되고 있으며, 일반적으로 8421 BCD코드를 간단히 ‘BCD코드라고 한다. ... 그러나 BCD 코드들 중에는 4221 코드나 3-초과 코드 (excess-3 code)와 같이 4비트로 구성된 그룹의 각 비트의 자릿값이 다른 것들도 있다는 점을 유의해야 한다.10진8421 ... 한자리의 10진수의 입력이 들어오면 이것을 8421 BCD코드의 4bit의 2진수로 바꾸어 준다.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.11.17
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    관련이론● BCD 코드간단하게 말하면 BCD코드는 10진수를 2진화한 4bit로 나타낸 형태의 코드이다.BCD 코드는 10진수를 0~9까지 2진화한 코드로 , 실제표기는 2진수지만 ... 일반적으로, BCD코드는 10진 코드와 같은 방식으로 계산을 한다. ... BCD코드?10진수BCD코드??0?0000?10?0001 0000?1?0001?11?0001 0001?2?0010?12?0001 0010?3?0011?13?0001 0011?4?
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 디지털회로 예비 보고서[부울대수(Karnaugh Map]
    converter- Excess-3 코드BCD에 3(0011)을 더한 코드를 말한다. ... 설명해 보시오.답 : BCD 연산 수행 시 Excess-3코드의 특별한 보정이 필요 없이 자동적으로 수행 할 수 있다. ... 코드와 비교했을 때 Excess-3 코드의 장점은 무엇인가?
    리포트 | 19페이지 | 1,000원 | 등록일 2018.06.12 | 수정일 2018.11.29
  • verilog code - (combo kit) 10진수 2자리수 덧셈, vfd로 출력
    (이를 수정한 최종 adder4_bcd module 코드는 3page에 있다.)concurrent 수행문의 경우 여러 수행코드를 동시에 수행한다.sequential 수행문의 경우 여러 ... adder4_bcd? vfd_display? data_select? vfd? ... 수행코드코드가 나열된 순으로 수행한다.ex)always @(data1a or data1b or data10a or data10b)beginbcd1a
    리포트 | 14페이지 | 2,000원 | 등록일 2014.04.25
  • 디지털 회로
    입력코드로 사용될 때 오차가 적게 나오는 장점을 갖고 있다.- 2진수를 그레이 코드로의 변환 회로이다.- BCD코드의 인접한 비트를 XOR연산하는 코드이다.- 첫번째 비트는 BCD코드값을 ... 디지털 코드중 자보수코드(self-complement code)가 무엇인지를 설명하고 우리가 학습한 코드중 자보수 코드를 모두 조사하여 제시하시오.1) 8421코드, BCD코드- 2진화 ... - 8421 코드의 연산을 돕기 위하여 만든 것이다.- 8421 코드에 3을 더해서 만든 코드이다.- 6진수로 BCD코드를 표현한 후에 3을 더한 것이다.- 비가중치 코드이며 자기
    리포트 | 4페이지 | 5,000원 | 등록일 2018.07.14
  • 디지털논리회로
    자보수코드 종류1) 3초과코드(Excess-3코드)(1) 정의- 8421코드(BCD코드)의 연산을 돕기 위하여 만든 코드로서 8421코드에 3을 더해서 만든 코드이다.- 비가중치 코드이고 ... 코드라고 한다.(2) 8421코드의 예제- 169를 BCD코드로 변환하기- 169 = 0001 0110 10014) 51111코드(1) 정의- 10진수 숫자 하나를 만들때 이진수 ... 대한 2421코드의 예제- 7을 BCD 2421코드로 변환하면 다음과 같다.- 1*2 + 1*4 + 0*2 + 1*1 = 7이므로- 10진수 7은 2421코드로는 1101이 된다.
    리포트 | 4페이지 | 5,000원 | 등록일 2018.07.14
  • [A+] 연세대학교 원주캠퍼스 의공학부 기초실험(2) 11주차 REPORT
    BCD란 binary-coded decimal의 약어이다. 이 코드는 0부터 9까지의 10진수를 4비트의 2진수(0000~1001)로 표현한 것이다. ... BCD-7segment Decoder는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 Decoder라 명명되었지만, 실제로는 4비트 입력을 출력 a~g 총 7비트 코드로 ... 실험을 하기에 앞서 Diode, BCD, Decoder에 대해 알아보면 다음과 같다.
    리포트 | 3페이지 | 5,000원 | 등록일 2018.01.09 | 수정일 2021.10.31
  • 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    BCD 코드는 위의 그림과 나타낸 것과 같이 10진수의 각 숫자를 대응되는 2진수로 표현하는 코드이다. ... 참고로, Anode Type은 74ls47을 사용한다.2.2 Bcd(Binary Coded Decimal) 코드 & Bcd Decorder? ... 출력과 Decoder의 BCD(A,B,C,D) 출력을 연결하고7 Segment Display를 연결하면 초 단위가 완성 된다.2. 7 Segment Display & BCD코드2.1
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • verilog 3주차 ENC DEC보고서
    따라서 인풋이 2개, 아웃풋이 3개 존재한다. 2진화된 두 수의 크기를 비교하는데 많이 쓰인다.③ BCD to 7-Segment Decoder-BCD: BCD표기(Binary-coded
    리포트 | 15페이지 | 1,000원 | 등록일 2018.12.27
  • 디지털시스템실험 5주차 결과보고서
    구현된 결과물을 HBE-COMBO II-DLD 보드에 업로드하여 검증실험결과7segment Controller(1) 코드 및 설명module Controller7segment1(iCLK ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서2017 디지털 시스템 설계 및 실험 KECE210 전기전자공학부실험제목7segment실험목표1. 4bit binary 를 8bit BCD
    리포트 | 4페이지 | 1,500원 | 등록일 2018.01.02
  • [mahobife]디지털회로실험 비교기, 인코더, 디코더 예비 보고서입니다.
    n비트의 2진수로 표현하는 것이다.-> 출력코드는 일반적으로 Binary나 BCD 이다.인코더의 구성도나. ... 종류1) BCD - 10진 디코더2) BCD - 7 세그먼트 디코더(Cathode 형태)3) BCD - 7 세그먼트 디코더(Anode 형태)Ⅲ. 준비물 및 실험방법1. ... 소개인코더: 하나 또는 그 이상의 활성 입력에 응답하여 출력에 특정한 코드를 출력시키는 회로.디코더와는 상호 보상적인 기능을 수행한다.-> 부호화기로도 불린다.->2^n개의 상태 수를
    리포트 | 11페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • BCD to EX-3 가감산기 설계 보고서
    출력을 합니다.이는 그대로 BCD코드로 출력이 되는 겁니다. ... 그 값을 BCD 코드로 변환해주는블록도입니다.다만 2개의 BCD코드로 입력되어져서가산의 경우 최대의 값은 18감산의 경우 -9 이므로 캐리의 값을 이용해십의 자리 표현 하기가 수월합니다.회로도 ... 0011(3)을 가산하여BCD코드를 3초과 코드로 변환을 한다.이렇게 변환된 3초과 코드는 LED를 이용하여 출력이 된다.(0011-1100)회로도 설명:맨 처음 5입력 스위치는
    리포트 | 11페이지 | 1,000원 | 등록일 2011.05.25
  • 디지털논리회로 MULTISIM 레포트 7-segment
    만일 10진수를 2진수로 표현하면 표현된 2진수를 "0"과 "1"의 심볼로 표현된 코드로 볼 수 있다. BCD 코드는 10진수의 각 숫자를 대응되는 2진수로 표현하는 코드이다. ... *BCD(Binary Coded Decimal) 코드수나 문자나 단어 또는 어떤 정보 등을 특정 기호 또는 심볼(symbol)들의 조합으로 표현하는 것을 부호화 또는 코드화(encode ... BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고
    리포트 | 11페이지 | 1,000원 | 등록일 2017.06.28
  • AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    주어진 코드를 분석했을 때 데이터 포트로 FND로 출력을 하는 GPIO, 스위치를 통한 INTERRUPT, 시간을 정확하게 측정하기 위한 TIMER, 그리고 알람을 위한 OCR의 원리를 ... Mode0과 1의 코드를 응용하여 사용하였다.Mode 3 : Down Count Timer설정한 시간부터 0까지 Down Count하는 Timer이다.Mode3은 Down Count ... [FND_DOWN[1]] | FND_BCD_TBL[FND_DOWN[0]];PORTC=buff_DOWN; //선언한 FND BCD 테이블 이용buff_DOWN=FND_BCD_TBL_H
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • 논리회로실험 예비 5
    인코더 구현Excess-3코드BCD코드에 대해 3을 더해준다. ... 코드가장 자연적인 10진 코드를 2진화 10진코드(Binary-Coded Decimal, BCD)라고 한다. ... .- BCD, Excess-3 코드에 대한 인코더의 동작을 확인하여 원리를 이해한다.2.
    리포트 | 12페이지 | 1,500원 | 등록일 2016.09.24 | 수정일 2021.04.08
  • [전기실험]BCD를 7세그먼트 디코더 칩셋과 디스플레이를 이용하여 10진수로 표현
    datasheet(4) 실험결과 (LED등 OFF= BCD코드 0 LED등 ON= BCD코드 1)BCD10진수실험결과00*************0011301004BCD10진수실험결과0101501106011171000810019 ... 이는 디지털신호를 10진수로 바꾸는데 직관적일 수 있지만 4비트 2진의 최대 표현수는 16가지인걸 고려해보면 낭비라고 할 수 있다.BCD코드를 7447 segment decoder와 ... (5) 실험고찰이번 실험은 7447 segment decoder를 통해 BCD 코드를 10진수신호로 변환하여 wcn1-0056sr display에 숫자를 띄우는 실험이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.06.29
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    BCD-to-7 segment 구현BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다. ... Binary-to-BCD Converter표1과 같이 Binary를 BCD로 변환 하려면 10 이상이 BCD 코드의 0000이 되어야 한다. ... Convertor 구현BCD-to-7segment 디코더를 만들기 전에 지금 까지 binary ALU를 통해 나온 결과를 7 segment로 출력하기 위해서 BCD 코드로 변환이
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • 논리회로실험 결과 5
    Excess-3 code는 뺄셈연산이 쉽도록 BCD코드에 0011(3)을 더해준 것인데, 실제로 3을 더하는 것은 힘들었다. ... 즉, 74HC42 칩은 BCD코드를 10진수 표현으로 바꿔주는 디코더로서 동작하기 때문에 非코드워드에 대해서는 모든 출력단에서 High를 출력하는 것을 확인할 수 있었다.DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y01010 ... 1111111111DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y01110-11111111111111-1111111111③ Basic gate를 통한 Excess-3 code 인코더의 구현Excess-3 codeBCD코드
    리포트 | 11페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2020.10.01
  • 디시설 - 4비트 가산감산기 , BCD 가산기
    이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.실습 내용실습 결과4비트 가산기VHDL코드- 코드 주요 내용 ... 결과 보고서( 4비트 가산/감산기 , BCD 가산기 )제목4비트 가산/감산기 , BCD 가산기실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 'High‘ 일 때 두 번째 자리를 출력하고, 'High'가 아닐 때 첫 번째 자리를 출력한다.4비트 가산기결과3, 3 입력15, 3 입력15, 7 입력9, 9 입력BCD 가산기VHDL코드
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • Xilinx-ISE 응용 레포트 (7-segment)
    만일 10진수를 2진수로 표현하면 표현된 2진수를 "0"과 "1"의 심볼로 표현된 코드로 볼 수 있다. BCD 코드는 10진수의 각 숫자를 대응되는 2진수로 표현하는 코드이다. ... *BCD(Binary Coded Decimal) 코드수나 문자나 단어 또는 어떤 정보 등을 특정 기호 또는 심볼(symbol)들의 조합으로 표현하는 것을 부호화 또는 코드화(encode ... BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만,실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 01일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감