• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd코드" 검색결과 241-260 / 986건

  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    Convertor 구현 코드`timescale 1ns/100psmodule Binary_to_BCD_TB;reg[3:0]A;wir 것처럼 4bit의 BCD 입력 코드는 7-segment의 ... 따라서 10의 자리까지 나타내기 위해서는, 총 8개 BCD 입력코드와 14개의 7-segment 출력 비트를 가지게 된다. 여기서는 10의 자리까지 나타내었다. ... b1(D[3:0],seg1[6:0]);BCD_to_segment1 b2(D[7:4],seg10[6:0]);endmoduleBCD-to-7 segment 구현 코드`timescale
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • 아주대학교 논리회로실험 실험8 예비보고서
    .- 카운터를 이용해 디코딩과 인코딩의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. 이론1) 카운터순서회로의 응용회로로서 수를 헤아릴 수 있다. ... AND 게이트를 4개를 사용한다.진리표는 아래와 같을 것이다.BAA'B' (0)AB' (1)A'B (2)001000101010001실험 3-1. 7-segment 표시기를 갖는 BCD ... 카운터회로를 위와 같이 구성한다.7490을 이용한 BCD Counter를 통해 0~9 까지의 값을 얻고 스위치를 이용해 2, 3번 핀이 GND에 연결된 후부터 동작한다는 것을 인지하고
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 결과보고서 - Binary-to-BCD Convertor
    out2로, 1의 자리는 출력 out1으로 나오는 것을 볼 수 있다.실험 후 실험 결과를 캡쳐하는 과정에서 어떻게 하면 한 화면에 실험 결과 파형이 다 나오게 하는지 몰랐었는데, 다시 코드를 ... 과 보 고 서디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부작성자 : 전기전자전파 공학부 ㅇㅇㅇ 학번 :실험조 : 7조 실험일 : 3. 31실험제목Binary-to-BCD ... Convertor 설계실험목표4bit binary 를 8bit BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계한다.실험 결과이번 실험에서는 switch문을 사용하여
    리포트 | 3페이지 | 1,000원 | 등록일 2017.11.08
  • 디지털실험 - 설계 1 예비 보고서
    실험 원리1) 디코더신호를 디지털 부호로 코드화해서 기억하거나 전송할 때 코드화된 신호를 원래 형태로 되돌리는 회로·유니트. ... 또 디코더와는 반대로 신호를 코드화하는 기기를 인코더라고 한다. ... 이를 구동하기 위해 8-4-2-1 BCD code Converter를 이용하며, 변환기 회로의 4개 입력 (A, B, C, D)는 8-4-2-1 BCD Number를 나타낸다.7세그먼트
    리포트 | 7페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털 시스템 실험 7-Segment 결과보고서
    BinarytoBCD 코드를 이용하여 4bit의 binary I를 BCD로 변환하여 T에 저장한다. ... Decoder 5개를 이용하여 4bit Binary-to-BCD Convertor를 구현하였다.BCD 코드로 7-segment에 숫자를 표시할 수 있도록 하는 디코더를 구현하였다.2진수 ... 값을 입력받아 BCD코드로 변환 후 7-Segment로 10진수의 값을 출력하도록 구현하였다.입력값 (0111)(2)에 대한 출력값 07이 출력되었다.입력값 (1111)(2)에 대한
    리포트 | 6페이지 | 1,000원 | 등록일 2016.04.08
  • 광운대학교 전기공학과 1학년 실험7
    네 개 자리수의 이진코드로 숫자를 나타내는 가장 흔한 방법이 BCD 코드이다.유의해야 할 점은 BCD 코드가 원래 십진수 숫자가 표현하는 수치를 자연스럽게 이진수로 나타낸 것과 유사하다 ... 된다.☑ BCD코드문자나 숫자를 코드로 나타낼 때에는 전 세계 어디서나 통용될 수 있도록 약속을 정해 놓고 있다. ... 코드의 개념을 이해하고 이진코드BCD코드가 무엇인지를 숙지한다.2. 디코더의 원리와 구성방법을 이해한다.3. 인코더의 원리와 구성방법을 이해한다.4.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.06.30
  • 디지털논리회로실험(Verilog HDL) - Adders
    adds two BCD digits. ... 실험목적 : 1-Digit BCD Adder를 구현할 수 있다.? ... Create a new Quartus Ⅱ project for your BCD adder.
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • 인코더와 디코더 실험보고서
    실험 결과6.1 실험과정 5.2의 결과를 바탕으로 다음 표를 작성하시오.10진수BCD 코드05786.2 실험과정 5.4의 결과를 다음의 표에 작성하라.10진수BCD 코드01237. ... , n비트로 된 2진 코드는{ 2}^{n }개의 서로 다른 정보로 표현할 수 있다. ... 실험목적본 실험을 통해 10진수/BCD 인코더와 디코더에 대해 알아본다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.06.27 | 수정일 2020.05.01
  • BCD to Excess-3 Code Conveter
    동작에 필요한 VHDL source code를 입력한다.이 코드는 case문을 사용하여 출력 “bcd”의 상태를 정의해 주고 있다. ... 이 역할을 하는 함수가 밑에 코드에 있는 case와 if 문이다.위의 작성한 코드를 테스트-벤치하기 위해서 다음과 같이 X에 값을 입력하였다. ... Assignment 1과는 다르게 port로 들어오는 input, output을 STD_LOGIC_VECTOR가 아닌 bit로 설정하였다.테스트 코드는 입력 신호가 직렬로 들어오기
    리포트 | 15페이지 | 1,500원 | 등록일 2010.11.02
  • 디지털 시스템 실험
    BCD에 대해 특별한 언급이 없으면 8421코드를 의미한다.BCD-3초과 코드BCD-3초과 코드 변환기는 0~9의 입력을 4비트의 BCD로 표현하고 이 값에 3이 더해 출력으로 나오는 ... ·BCD-2421 코드 변환기에 대해 알아본다.·2진-그레이 코드 변환기와 그레이-2진 코드 변환기에 대해 알아본다.실 험 내 용BCD코드란? ... BCD코드란 10진수의 각 숫자를 대응되는 2진수로 표현하는 코드이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.18
  • 아주대학교 논리회로실험 실험8 결과보고서
    앞의 실험과 다르게 두 플립플롭에 클럭펄스가 동시에 들어와 지연시간이 없는 동기식 카운터이다.3)-1 7-segment 표시기를 갖는 BCD 카운터7-segment 표시기를 갖는 BCD ... 실험 3-1은 7-segment 표시기를 갖는 BCD 카운터를 확인해보는 실험이다. 7490을 이용한 BCD Counter를 통해 0~9 까지의 값을 얻었고 다시 9다음으로 0이 출력되어 ... 실험 3-2는 7-segment 표시기를 갖는 BCD 카운터를 확인해보는 실험이다. 7490을 이용한 BCD Counter를 통해 0~9 까지의 값을 얻었고 다시 9다음으로 0이 출력되어
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • [예비레포트] 숫자표시기와 7447, 응용
    이 디코더는 어떤 숫자에 해당하는 BCD코드가 주어지면 그 코드에 해당하는 수치를 표시할 수 있도록 BCD 코드에 맞추어 숫자표시기의 적절한 입력으로 바꾸어주는 역할을 한다. ... 그리고 7446, 7447은 6과 9의 표시에 꼬리가 나타나지 않는 반면 7426, 74247에서는 꼬리가 나타난다는 데에 차이가 있다.정상적인 BCD코드(0000~1001)범위 안에서는 ... 코드가 주어지면 이것으로 7-segment display의 LED들 중 적절한 것을 켜주어 해당 삿자가 표시되도록 해 주는 IC를 BCD-to-7-segment decoder/driver라고
    리포트 | 6페이지 | 1,000원 | 등록일 2019.04.18
  • [예비 및 결과레포트] 숫자표시기와 응용
    이 디코더는 어떤 숫자에 하당하는 BCD코드가 주어지면 그 코드에 해당하는 스치를 표시할 수 있도록 BCD 코드에 맞추어 숫자표시기의 적절한 입력으로 바꾸어주는 역할을 한다. ... 따라서 디코더-숫자표시기 조합은 BCD 입력으로 부터 숫자를 표시하기 위한 가본 화로요소라할 수 있다. ... 너무 작아지면 IC의 구동능력을 넘어서거나 LED가 타버릴 수 있으므로 적절한 값을 사용해야 한다.5. 7-세그먼트 디코더/구동기 (7-segment decoder/driver)BCD
    리포트 | 7페이지 | 1,000원 | 등록일 2019.04.18
  • 디지털시스템 실험(decoder, Binary to BCD converter), 2-to-4, 3-to-8 라인디코더+testbench포함
    Binary to BCD converter 코드와 Test bench를 작성하였다6. Binary to BCD코드를 모델심에서 시뮬레이션을 돌려보았다.7. ... . 2-to-4는 간단하게 코드를 만들 수 있었고 3-to-8은 2-to-4의 모듈을 호출해서 만들 수 있다는 점이 좋았다.처음에 BCD to 7-segment converter 코드를 ... 작성하였지만 Binary to BCD부터는 reg함수를 이용하여 bit를 순서대로 배정하여 코드를 만드니 훨씬 효율이 높았다.
    리포트 | 7페이지 | 1,500원 | 등록일 2014.11.03 | 수정일 2018.05.16
  • 논리회로실험 결과 10
    따라서 BCD코드의 4 bit 중 D, C, 7405의 5번 핀을 개방하여 BCD코드의 C bit가 전달되지 않도록 하였다. ... 핀을 개방하여 BCD코드의 B bit가 전달되지 않도록 하였다. ... 즉, 디지털 신호인 BCD 코드를 아날로그 전압값을 갖는 신호로 변환할 수 있었다.실험
    리포트 | 8페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2019.11.22
  • 논리회로실험 결과 8
    74HC47)는 BCD 코드를 7-segment의 각 위치에 맞는 값으로 출력시킨다. ... 따라서 BCD 카운터는 동일하게 이용하였으며, BCD코드의 출력을 7-segment 디코더를 통해 7-segment 표시기의 각 위치에 해당하는 a, b, c, d, ent 디코더( ... LED는 위에서부터 좌측부터 D, C, B, A이며 BCD 코드에서 D가 MSB, A가 LSB이다.BCD 카운터가 마지막 state인 1001를 지난 뒤 다시 처음으로 돌아와 0000부터
    리포트 | 11페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2020.11.07
  • 아주대학교 논리회로실험 실험5 결과보고서
    모든 입력값의 경우를 실험해 보았고 모두 올바른 출력값을 얻었다.- 분석 이번 실험은 코딩 10진 / Excess-3 코드(BCD에서 3을 더함) 회로를 구성하고 확인해 보는 실험이었다 ... 입력이 2개라면 즉, 2개의 bit가있다면, 이 bit가 만들 수 있는 수는 개,즉 4개이다.- 분석이번 실험은 BCD to Decimal Decoder 의 회로를 구성해 확인해 ... Excess-3 코드는 각 bit를 반전하면 쉽게 9의 보수를 얻을 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • 논리회로실험 실험5 예비보고서
    Excess-3 코드- BCD코드에 3(0011)을 더하여 구할 수 있으며, BCD코드보다 3만큼 크기때문에 Excess-3 코드라고 불립니다.- Excess-3 코드는 각 bit를 ... BCD to Decimal Decoder- 74HC42 IC칩을 이용하여 BCD to Decimal Decoder를 구성합니다.- 회로의 입력을 바꿔가며 코드 변화를 살펴봅니다.실험 ... 인코더는 무언가를 암호화하는 역할을 하는데 해당실험에서는 신호를 전달할 때 다른 장치에서 잘 알아들을 수 있게 10진수나 8진수를 2진수나 BCD코드로 변환해 주는 역할을 합니다.-
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.09
  • 논리회로실험 예비 8
    BCD 코드를 나타내므로 QD를 MSB, QA를 LSB이며 0000, 0001, ... 1000, 1001, 0000, .... 와 같이 반복적으로 BCD코드를 나타낼 것이다. ... 과 같이 BCD코드에 대해서만 카운터 동작이 가능토록한다. 출력은 7-segment LED를 통해 얻을 수 있다.3. ... 또한 이러한 동작을 위해서 3, 4, 5 Pin이 High상태에 있어야한다.⑤ 7-segment 표시기BCD코드화 된 4bit 2진수에 대해 0~9까지의 수를 LED를 통해 확인할
    리포트 | 10페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2021.10.31
  • (예) 3. 수체계
    마지막 6개 코드는 유효하지 않은 BCD코드가 된다. 하지만 2진법으로 스위치 조합을 설정하여 표시되는 결과를 관찰할 수 있다. 각각의 무효코드도독특한 표시가 나타날 것이다. ... 이 체계는10기수로부터 기계가 이해할 수 있는 코드로 즉시 변환이 가능하기 때문에 매우 편리한코드이긴 하지만, 비트가 낭비되는 단점이 있다. 4비트 2진수는 0부터 15까지 수를 표현할 ... 2진수 또는 BCD(Binary coded decimal) 수를 10진수로 변환? BCD수를 디코딩 하고 7-세그먼트로 표시해 주는 디지털 시스템 구성?
    리포트 | 4페이지 | 1,000원 | 등록일 2015.12.11
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 01일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감