• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd코드" 검색결과 481-500 / 986건

  • 실험8. 인코더와 디코더 회로 결과
    회로를 설계하는 입장에서는 디코더라 칭할 수 있지만, 실제로는 4비트는 10진수를 7비트 코드로 변환하는 코드 변환기이다.입력(BCD)출력(Display)A3A2A1A0abcdefg00001111110000101100000010110110100111111001010001100110101101101101101011111011111100101000111111110011111011그림 ... BCD-7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 10진 숫자를 받아들이고 10진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.또한 ... 이것은 또한 기호나 숫자를 여러 가지 기호나 알파벳 문자를 코드화하기도 하고 기호나 숫자를 코드화 하는 것을 인코딩이라 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2013.02.02
  • 실험 5. Decoder & Encoder(결과)
    같은 BCD코드와 똑같은 의미를 갖고 있어도 모양은 다르다. 예를 들어 0을 나타내면 BCD는 D0만 점등 되지만 Excess-3코드는 D1과 D2가 점등된다. ... 스위치는10진수를 나타내고 결과 값은 Excess-3코드를 나타낸다. Excess-3코드는 8421의 BCD코드에서 +3만큼 한 수이다. ... 스위치는 BCD코드를 나타내고 결과 값은 10진법을 나타낸다. 전 실험의 Decoding의 확장된 실험이라고 볼 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • 논리회로실험 15주차 결과보고서
    인코더는 입력으로 10진수의 정보를 받아들여 2진수나 BCD코드로 변환시키는 조합회로인데, 이번 실험에서는 10진수의 정보를 받아 BCD코드로 변환시켜 출력으로 tc신호를 내보낸다. ... 또한 기호나 숫자를 여러 가지 기호나 알파벳 문자를 코드화하기도 하는데, 이를 인코딩이라 한다. ... 여기서 tc 신호는 간단히 ‘자리올림수’라고 생각할 수 있다.4. 7 segment LED driver2번과 3번 블록을 통해 선택되어진 BCD 값(up_cnt_hex0, up_cnt_hex1
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 아주대 논회실 논리회로실험 실험8 예비보고서
    .- 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환을 실험하고 동작을 이해한다.-비동기식카운터와 동기식카운터의 차이점을 이해한다.2. ... 따라서 동기식 카운터는 비동기식 카운터에 비해 입력신호의 전달지연시간이 훨씬 짧아진다.실험에서는 F/F을 이용한 Counter와 BCD Counter를 사용하며 F/F을 이용하는 경우 ... 값을 확인하는데 사용한다.7490 Decade and Binary Counter-MR핀이나 MS핀이 두 개 모두 H 상태인 경우 카운팅 안함.- Q0 핀과 CLK1핀을 연결하면 BCD
    리포트 | 5페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • decoder를 이용한 각종 시스템 구현
    따라서 위의 코드를 작성하지 않으면 처음의 그림처 럼 8개의 자리에 전부 같은 숫자가 출력된다.2. ... ‘0110’을 입력하면 10진수인 ‘6’이 출력이 된다.- 코드를 분석해 보면 COM이라는 output Port를 설정하여 각각의 입력되는 신호 값이 1이면 출력되지 않고 0이 되었을 ... RTL 회로□ BCD to 7-segment decoder- BCD to 7-segment decoder는 BCD에서 10진수를 받아들이고 그 숫자를 나타내는 segment 를 선택하여
    리포트 | 7페이지 | 1,000원 | 등록일 2009.05.07
  • 공기업 전산학 컴퓨터 구조 요약 정리본(실제 필기준비하면서 정리한 자료)
    표현- BCD에 3을 더한것과 같은 값- 자기 보수 보수 코드(뒤집으면 9의 보수가 됨)즉, 1의 3초과 코드를 1의 보수로 만들면 8의 3초과 코드가 나옴- 비가중화 코드(자리수의 ... (XOR연산) = 비교 연산마스크 연산원하는 비트를 선택적으로 클리어 하는데 사용되는 연산(AND 연산)자료의 외부적 표현BCD 코드(6bit, 2진화 10진 코드 = 8421코드) ... ASCII 코드(7bit, 통신시에는 1bit 패리티 비트로 추가하여 사용)EBCDIC 코드(8bit, 4개의 zone bit, 4개의 digit bit로 구선)3초과 코드- 10진수
    시험자료 | 13페이지 | 7,000원 | 등록일 2011.07.26
  • 아주대 논회실 실험8 결과보고서
    7447이 그 BCD코드를 이용하여 7 segment 표시기에 십진수로 표시하는 실험 이었다.자세히 보면, 7490에서 4비트 2진수를 카운터하여 그 출력을 다음단의 소자인 7447소자로 ... 세번째 실험(1,2통합), 7-segment 표시기를 갖는 BCD Counter1) 실험과정 및 결과? ... 74HC90과 74HC47, 7-segment 이용하여, 0~9까지 BCD 카운터를 설계하기.0~9까지 7 segement를 사용하여 표현한 결과값.클럭주파수를 1HZ로 두었기 때문에
    리포트 | 4페이지 | 1,500원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 아주대 논회실 실험8 예비보고서
    클럭신호를 카운트 하여 BCD신호로 바꿔주고, 3-2실험에서 7447이 그 BCD코드를 이용하여 7 segment 표시기에 십진수로 표시하는 것이다. ... 실험목적카운터의 동작원리와 특성을 이해 2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 ... 이 카운터를 이용해 0부터 9까지 세는 BCD 카운터를 설계하면 밑에 있는 그림과 같다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • Digital clock chip - SELECTOR & DIVIDER Blocks
    이 두 개의 코드를 작성한 후 각각을 테스트 벤치 코드를 이용하여 시뮬레이션 해본 후 앞에서 했던 과제들의 코드를 가져와 DIGITAL CLOCK이라는 전체적인 코드를 시뮬레이션 해본다 ... INPUT으로 BINARY의 값이 들어오게 되면 BCD_H의 값을 십의 자리로, BCD_L의 값을 일의 자리로 생각하여 BINARY를 십진수로 변환했을 때의 십의 자리와 일의 자리를
    리포트 | 11페이지 | 1,500원 | 등록일 2011.06.06
  • 예비 counter
    실험목적- 카운터의 동작원리와 특성을 이해- 2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해- 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 ... Counter로 동작operation condition :4.75 LEQ V _{CC} LEQ 5.25 [ Typ. 5.0V ]SN7447A- BCD-TO-SEVEN-SEGMENT ... Typ. 5.0V ]74HC90- Decade Counter- MR핀이나 MS핀이 두 개 모두 High 상태인 경우 Counting 안 함- Q0 핀과 Clock1 핀을 연결하면 BCD
    리포트 | 5페이지 | 1,500원 | 등록일 2013.12.26
  • 산술논리연산 (결과)
    BCD 가산기BCD가산기란 BCD 코드로 표현된 10진 숫자 2개를 입력으로 받아 덧셈을 수행하여 그 결과를 BCD 코드로 출력하는 회로를 말하며, 십진가산기라고도 한다. ... 코드 값이 된다.이므로, 17에 6을 더해주면,정확한 BCD 코드가 구해진다.(4). ... BCD 코드는 0부터 9까지의 10진 숫자를 표현하기 위해 4비트를 사용하며, 0000부터 1001까지(0~9)의 코드만 사용하고 1010부터 1111까지의 코드는 사용하지 않는다.
    리포트 | 6페이지 | 1,000원 | 등록일 2012.07.03
  • [논리회로] 코드변환기
    회로에 펄스가 가해지고 나면 대응하는 Excess-3 디지트 코드가 Flip Flop에 나타난다.표 12-1은 BCD to Excess-3 코드 변환기에 대한 상태표이다. ... Excess-3 코드로 변환기를 4개의 Flip Flop을 사용하여 설계하면 다음과 같다.처음에 BCD 디지트(0-9)중 하나가 Flip Flop 내에 저장된다. ... 그림 12-2는 JK Flip Flop을 이용한 BCD to Excess-3 코드 변환기의 회로도이다. 실험을 통해 표 12-2의 진리표를 완성하시오.
    리포트 | 5페이지 | 2,000원 | 등록일 2003.08.14
  • 전자회로실험 실험9 dc모터 속도 제어 및 측정 결과보고서 후반부
    코드)가 사용되며, 10개씩의 입력으로 처음의 상태로 되돌아가는 계수기가 바로 이 BCD 카운터 회로입니다. ... 이 결과를 통해 7490 BCD 카운터의 출력은 비동기 10진 계수기의 결과와 동일하다는 것을 알 수 있었습니다. 4비트 이상의 기억 소자로 구성되며 대부분 BCD 코드(2진화 10진 ... 이 신호들이 7447소자를 거치면서 BCD로 표현되는 2진수 코드를 7-세그먼트에 표시 되도록 함으로써, 최종적으로 가변저항으로 모터 속도를 제어하여 세그먼트에 모터 회전수를 표시되게끔
    리포트 | 7페이지 | 1,500원 | 등록일 2013.04.25
  • 기초회로실험보고서, 프로젝트 PPT
    입력을 대응하는 BCD 코드로 변환시키는 논리 회로로 그림 1에 이를 나타내었다. ... 예를 들어, 10진수나 한글 자음과 모음, 영문 알파벳 등을 그에 상응하는 약속된 2진수로 바꿀 때 인코더를 사용할 수 있다 (2) 10진수/BCD 엔코더 이는 10개의 10진수
    리포트 | 17페이지 | 3,000원 | 등록일 2013.06.12
  • 실험4 프로젝트 보고서
    변환하는 코드 변환기라고 볼 수 있다.7447에서의 핀a ~ g에서 출력이 나온다. ... 모드가 정해진 상태에서 스위치를 누르면 LED에 불이 들어옴과 동시에 AT89S51-24PU에서 C코딩 된 것에 따라서 BCD신호를 HD74LS47P 로 보낸다. ... 풀 업저항과 반대로 스위치가 off 되었을 때 항상 0의 값을 갖고, 스위치가 on 되면 1의 값을 갖도록 하는 풀 다운 저항도 있다.◈ 그림3ⅱ. 74LS474비트로 구성된 BCD
    리포트 | 26페이지 | 2,500원 | 등록일 2015.12.25
  • bcd
    학과 : 전자재료공학과학번 :이름 :과제 1. 10진수를 BCD코드로 표현하는 이유~~!!1. ... 입출력의 편의성을 위해서 BCD Code 코드를 사용한다.참고로 BCD code는 일반적인 프로그래밍에서는 전혀 사용되지 않는다. ... 숫자를 표현할 때 쓰이는 코드?
    리포트 | 1페이지 | 1,000원 | 등록일 2008.06.12
  • 전자회로실험 실험9 dc 모터 속도 제어 및 측정 예비보고서 후반부
    코드)가 사용되며, 10개씩의 입력으로 처음의 상태로 되돌아가는 계수기가 바로 이 BCD 카운터 회로입니다. ... 이 결과를 통해 7490 BCD 카운터의 출력은 비동기 10진 계수기의 결과와 동일하다는 것을 알 수 있었습니다. 4비트 이상의 기억 소자로 구성되며 대부분 BCD 코드(2진화 10진 ... .* 그림 3.2.12의 회로를 구성하고 7490 BCD 카운터의 출력을 확인하라.= 그림 3.2.12의 회로도를 구성한 후, BCD 카운터의 출력을 확인하기 위한 시뮬레이션 결과입니다
    리포트 | 6페이지 | 1,000원 | 등록일 2013.04.25
  • 스톱워치 구현 보고서
    동작하므로, 인코더를 통해 암호화된 코드를 해석하거나 컴퓨터가 인식하는 코드를 사람이 읽을 수 있도록 바꿔준다. ... 참고로 10진 카운터는 BCD 카운터라고 부르기도 한다.3) 6진 카운터0(000) ~ 5(101)의 값을 순서대로 반복하여 카운트하는 6진 비동기식 업 카운터를 플립플롭의 강제 리셋 ... 입력 값에 따라 2n개의 출력 신호 중 1개의 출력 신호를 선택하고, 선택되지 않은 다른 출력 신호와 반대의 신호가 출력되도록 설계된 회로이다.디코더는 코드를 풀어서 늘려주는 회로로
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 전가산기와 BCD가산기 설계
    실험명전가산기와 BCD 가산기를 설계하라.1. 명제7483과 AND, OR, XOR 게이트를 사용하여 전가산기와 BCD 가산기를 설계한다.2. ... 0부터 9까지 밖에 나타낼 수 없으므로 BCD의 자리올림이필요하며, 그 합에서 1010을 (-)하든지 0110 (1010의 2의 보수)를 더하면 된다.③두 개의 BCD수를 더하여 ... 따라서 그대로는 가산이 되지 않는다.①BCD 가산 결과가 0부터 9인때이 경우 2진 가산의 결과는 그대로 BCD의 가산 결과가 된다②BCD 가산 결과가 10부터 15인때이 경우 BCD
    시험자료 | 6페이지 | 2,000원 | 등록일 2012.04.25
  • 4bit up counter
    위 값을 2진수로 변화하여 보면qout 값이 1이 되었을 때 seg_out은 1111001으로 이는 BCD값 숫자를 1로 만들어낸다. ... 코드설명`timescale 1ns/100ps//시간의 단위를 각 1ns의 크기와 100ps 단위로 설정module counter4_tb;//모듈의 이름을 선언reg clk, clr;
    리포트 | 6페이지 | 1,000원 | 등록일 2015.03.13
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:38 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감