• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd코드" 검색결과 641-660 / 986건

  • 예비보고서-Exp 1. Combinational Logic Design,Flip-Flop, and Counter.hwp
    Problem Statement1) Implement Magnitude Comparator2) Implement BCD - to - 7 segment display code converter3 ... simplified Boolean function and check the operation.- 이 문항은 직접 실험을 통해 해야 하는 문항이므로 결과보고서에서 다루도록 하겠습니다.2) BCD
    리포트 | 10페이지 | 2,000원 | 등록일 2010.10.11
  • [기계공학 실험] Lapview 예비 리포트
    (비트의 수가 증가할수록 출력 신호선의 개수가 증가해서)②출력에 따라 2진 코드, BCD 코드 등이 있다.③디지털코드 또는 통신타입으로 신호를주게 된다.차이점출력내용상대값 출력-회전각의 ... 지정하여, 회전축의 위치 및 각도에 따라서 지정된 디지털코드로 출력되도록 하는 절대회전각도 검출 장치이다.회전 위치를 알기 위해서 필요한 만큼의 bit 들이 중심을 향해 동심원을 ... Z상이 1회전 당 1번 투과하므로 원점을 출력할 수 있는 구조이다.회전축이 0도가 되는 지점을 기준으로360도를 일정비율로 분할하고, 그 분할된 각도마다 인식 가능한 전기적인 디지털코드
    리포트 | 6페이지 | 2,000원 | 등록일 2013.07.25
  • 디지털회로실험 예비보고서
    예비보고서(1) BCD 코드에서 7세그먼트의 각 요소를 on 시키는 Boole 논리함수[식 (9)와 같은 형태]를 모두 쓰라.A = 0, 2, 3, 5, 7, 8, 9∴ +
    리포트 | 4페이지 | 1,500원 | 등록일 2010.09.22
  • [쿼터스포함]병렬,BCD 가감산기 결과보고서 / 카르노맵, 게이트 변환
    무관항은 BCD 코드를 다른 형태로 변경할 때 자주 등장하며 잘 이용하면 회로를 간단하게 나타낼 수 있다.
    리포트 | 11페이지 | 2,000원 | 등록일 2011.01.05 | 수정일 2020.01.29
  • Encoder와 Decoder 결과보고서
    BCD 코드는 위의 그림에 나타낸 것과 같이 10진수의 각 숫자를 대응되는 2진수로 표현하는 코드이다. ... BCD-7 세그먼트 디코더는 2진수를 10진수로 변화해 주기 때문에 회로를 설계하는 입장에서는 디코더라 칭할 수가 있지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다.실험방법 ... 인코더(Encoder)인코더는 입력으로 10진식이나 8진식의 정보를 받아들여 2진식이나 BCD와 같은 코드로 변환시키는 조합회로이다.
    리포트 | 5페이지 | 3,000원 | 등록일 2009.03.11 | 수정일 2018.07.08
  • 2진수와 기본논리회로
    비트마다 정해진 값이 있다.,,,표 Ⅱ-4 BCD 코드10진수BCD 코드10진수BCD 코드00000501011000160110200107011130011810004010091001⑥ ... excess-3 code) : BCD 코드에 10진수 3(0011)을 각각 더한 것. ... 1110+ 1000 0101 + 0110 0110= 1110 1110⇒ BCD 수가 아님⇒ 0110(6을 더함) = 0001 0101 0100( 2 ) 3초과 코드① 3초과 코드(
    리포트 | 26페이지 | 1,000원 | 등록일 2007.06.03
  • 자판기시스템(최종본)
    설계목표1. 10 진수 가산기 관련이론 BCD 코드는 16 진수 이기 때문에 합이 10 이상이면 6 을 더함으로서 0~9 까지의 값을 출력하도록 할 수 있다 .관련이론 2.
    리포트 | 12페이지 | 2,000원 | 등록일 2011.11.13
  • 아주대 논리회로실험 결과7-복호기와 부호기
    목 적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작 원리를 이해한다.2. ... 하지만 시뮬레이션의 결과를 통해 3진 카운터의 특성을 확실히 이해할 수 있었다.3) 10진 디코더를 갖춘 BCD 카운터< 그림 5. ... 카운터< 그림 8. 7-segement 표시기를 갖는 BCD 카운터 >※ 토의 사항이번 실험은 교재의 실험 회로의 오류로 정확한 실험 결과를 얻을 수 없었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • 데이터의 표현방법 프리젠테이션
    (BCD)형식10진수 0~9까지를 무조건 4비트로 표현하는 것.100190100410008001130111700102011060001101015000002진화 10진수10진수2진화10진수10진수문자데이터의 ... /4949한글코드(다) 유니 코드 - 2바이트(16비트)를 사용하여 전세계 문자의 완전 코드화, 코드의 체계화, 호환성을 위한 세계 통합 코드이다.(2바이트를 사용하여 총 65,536개의 ... 표현(1) 영문자, 숫자, 특수문자의 코드 (가) 아스키코드(ASCII) - 컴퓨터 상호간의 데이터 전송과 컴퓨터 내부 문자데이터 처리에 사용되는 표준화 코드 - 7비트의 조합으로
    리포트 | 20페이지 | 3,000원 | 등록일 2008.05.06
  • 아주대논리회로실험 7장시프트레지 예비보고서(기본구성+빵판+예상결과)
    Ripple Counter : BCD 카운터는 10개의 상태를 가지는데 상태가 0에서9까지 변한 후에 다시 0으로 되돌아 온다.- 동기 카운터동기(synchronous) 카운터는 ... 을 이용하여i번째 flip-flop의 출력이 (i+1)번째 flip-flop을trigger하고, 첫 번째 flip-flop은 외부에서 오는클럭 펄스에 의해서 trigger된다.② BCD
    리포트 | 8페이지 | 1,500원 | 등록일 2011.12.21
  • 디코더와 인코더
    디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고 볼 수 있다.BCD-to ... .- 디코더와 인코더의 구성방법을 익혀 각종 코드를 만들 수 있는 능력을 키운다.- 7-segment의 원리와 숫자 표시기의 사용방법을 익힌다.2. ... 아래 그림에 나타낸 것과 같이 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, ... , g 신호를 만들어내는 조합회로이다.BCD-to-7세그먼트
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.11
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 5장(멀티플렉서, 디멀티플렉서, 엔코더, 디코더) 예비보고서
    부호를 BCD 코드로 변환하는 엔코더 진리표10진 부호를 BCD 코드로 변환하는 엔코더 회로10진 부호를 BCD 코드로 변환하는 엔코더 결과값 출력 그래프4) 디코더엔코더와는 반대되는 ... 코드를 10진 부호로 변환하는 디코더 진리표BCD 코드를 10진 부호로 변환하는 디코더 회로 출력값 그래프BCD 코드를 10진 부호로 변환하는 디코더 회로3. ... 이값이 AND, OR, NOT게이트를 이용하여 구성한 회로와 같은 값이 나오는지 확인합니다. 10진 부호를 BCD 코드로 변환한 엔코더(TTL74147)를 이용하여 회로를 구성한다음
    리포트 | 9페이지 | 1,000원 | 등록일 2009.05.07
  • [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    10진수가 어느 값일 때불이 켜지는가 이므로 표를 참조하여 변환기를 설계한다.△ FND a, d에 대한 입력조건10진수입력(BCD 코드)출력(4-Segment)A B C Da b ... 실험4를 통하여 3 by 8 decoder를 gate를 이용하여 구성하여실제 출력을 확인 한다.4.Background1) 디코더(decoder)디코더(decoder)란 n비트의 2진 코드 ... 하나의 4-10-8 FND 코드변환기☞ 7 세그먼트 표시장치 FND의 내부애노드 공통(C-A) 캐소드 공통(C-C)☞ 우리가 원하는 것은 a, b, c, d, e, f, g 세그먼트가
    리포트 | 40페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • [AVR/MCU] 8051칩을 이용해 시계/타이머 제작 프로젝트[소스코드 해석]
    코드와 재료는 주어졌고 코드를 해석하면서 실제 키트가 어떻게 동작하게 되는지 알아본다. ... 양방향입출력 단자로 사용될 수 있고 또 다른기능을 가지는 핀으로서 사용되기도 한다.7segment(애노트타입)(캐소드 타입)7세그먼트 표시기라고 하는 소V)를 연결하면 불이 꺼진다.BCD-to ... 저장하는 방식은 우선 컴퓨터로 코드를 짠 다음, 칩 메모리에 써 넣을 수 있는 장비롤 통해 코드를 마이크로프로세서 칩에 이식한다.
    리포트 | 25페이지 | 3,000원 | 등록일 2012.11.16
  • 논리회로실험 멀티플렉서와 디멀티플렉서 결과보고서
    Ⅰ. 목적멀티플렉서(Multiplexer)와 디멀티플렉서(Demultiplexer)의 원리를 이해하고 실험을 통해 동작을 확인한다.II. 실험 기기(1) DC 전원공급기 (power supply)(2) 오실로스코프, BNC 프루브 2개(3) 멀티미터(4) IC : 74..
    리포트 | 5페이지 | 1,000원 | 등록일 2010.03.20
  • 지식정보사회와컴퓨터활용
    - 표준 BCD코드, EBCDIC, ASCII코드, 가중 코드, 비가중 코드, 그레이 코드, 에러 검출 코드, 해밍 코드3주차 2교시소프트웨어명령의 집합-시스템 소프트웨어-응용 소프트웨어-제어 ... 단점인 에러의 교정(detecting)이 불가능한 것을 보완하여 에러를 검출하고 교정도 할 수 있는 코드임퀴즈! ... , 교육, 가정, 병원시스템이란 질서정연한 상태, 유기적인 결합체이며 입력/처리/출력 과정을 거침자료의 표현 - 비트, 바이트, 문자, 워드, 필드, 아이템, 레코드, 파일컴퓨터 코드
    시험자료 | 55페이지 | 4,000원 | 등록일 2011.04.23
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    요하는 운동경기나 , 음식 조리 같은 경우에 사용이 가능한 것으로 시간을 정확하게 재는데 사용됩니다 .일정계획 11 월 2 일까지 : 서적 및 인터넷 조사 11 월 9 일까지 : 소스코드 ... 'a' elsif ( bcd = 4) then res := 10011001; elsif ( bcd = 5) then res := 10010010; elsif ( bcd = 6) then ... = 0) then res := 11000000;-- '1' : OFF elsif ( bcd = 1) then res := 11111001;-- '0' : ON elsif ( bcd
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • 4 bit BCD adder.subtraction
    ;entity BCD isport ( a, b:in std_logic_vector(3 downto 0);s:out std_logic_vector(4 downto 0));end BCD ... ;architecture test of BCD issignal c:std_logic_vector(4 downto 0);signal k:std_logic;beginc ... 부호를 갖는 4 bit BCD adder/subtraction을 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • 1초간격으로 1에서 10까지 표시가 반복되는 회로 설계
    코드를 출력 BCD 출력을 다음 IC 에 입력관련 자료 7442IC관련 자료 7442IC BCD 코드를 입력받아 LED 구현 출력부에서 10 개의 신호중 9 개는 ”H” 1 개는 ... 캐패시터로 조절 F=1.43/T=1.43/(R1+2*R2)*C F=1 일때 R1=100k R2=22k C1=10uF관련 자료 7490IC 7490IC 는 0~9 까지의 카운터 기능 BCD
    리포트 | 20페이지 | 1,000원 | 등록일 2009.11.22
  • 7-Segment Decoder using Decimal to BCD Converter
    7-Segment Decoder using Decimal to BCD ConverterDecimal to BCD Converter를 Codingmodule Deci_to_BCD(X, ... K1(.X(X),.In(In)); //Deci_to_BCD에서 input ‘X’는 ‘X’로 output ‘In’의 값은 ‘In’으로 지정된다.Minterm K2(.In(In),.K ... coding에 의해서 연결이 됨을 알 수 있다.Decimal to Seven Segment decoder가 최상위 계층으로 올라가고 Decimal to BCD 가 최하위 계층으로
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.07
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감