• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd코드" 검색결과 661-680 / 986건

  • [기초회로실험] 디코딩과 엔코딩(Decoding & Encoding)
    엔코딩과 코드변환 동작에 대해 공부한다.실험목적■ 10진수를 2진수나 BCD코드로 변환하는 조작을 인코드(encode)라 하고, 이것을 수행하는 회로를 인코더(encoder)라고 한다 ... to 7 세그먼트 디코더■ BCD 코드를 입력으로 7 세그먼트 LED에 0~9의 숫자 표시 ■ 각 세그먼트의 이름 - a, b, c, d, e, f, g■ 세그먼트의 공통 단자에 ... 더디코더 (Decoder)■ ROM, RAM과 같은 기억 장치에서 특정한 번지를 선택한다든가 명령 레지스터에 들어 온 명령을 해독하는 데 사용■ 2 진수로 부호화된 정보를 10 진 코드
    리포트 | 13페이지 | 1,500원 | 등록일 2009.05.14
  • [논리회로] Hexadecimal to ASCII 코드 변환기
    수치 데이터 코드에는 2진 코드, 그레코드. 3초과 코드, 해밍 코드가 있다.각각의 변환기는 다음과 같다.문자 코드에는 6비트 BCD코드, 7비트 ASCII코드, 8비트 EBCDIC ... to Binary Converter※BCD code를 2진수로 변환하기 위해서는 BCD의 자릿값에 의해 2진수를 합해야 한다. ... 예를 들어,ex1) 52(10) ex2) 95(10)0 1 0 1 0 0 1 0 (BCD) 1 0 0 1 0 1 0 1 (BCD)0000010 (2) 0000001 (1)0001010
    리포트 | 9페이지 | 1,000원 | 등록일 2003.08.14
  • 논리회로2
    부호의 코드BCD 코드 (Binary Coded Decimal)2. 부호의 코드화 그레이 코드 (Gray Code) 기타 BCD 코드2. ... 부호의 코드화 아스키 코드 (ASCII) (American Standard Code for Information Interchange)3. ... 논리회로목차 수의 체계 부호의 코드화 기본 논리 회로 부울식의 간략화 조합 논리회로 순차 논리회로 설계1.
    리포트 | 73페이지 | 3,000원 | 등록일 2011.07.31
  • [논리회로] 특수 코드 카운터
    Binary 코드 외에 ASCII 코드, Excess-3코드, BCD 코드, Gray 코드 등이 있다. ... 또한 BCD 코드는 10진수를 2진 코드로 되어 있는 코드로서 10진수의 10을 초과 할 경우 하나의 비트가 늘어나게 된다. ... 표 10-1은 Binary 코드와 Excess-3 콘, Gray 코드, BCD 코드를 나타낸 것이다.16 진수Binary CodyExcess-3 CodeGray CodeBCD CodyABCDABCDABCDABCDE0
    리포트 | 4페이지 | 무료 | 등록일 2003.08.14
  • [디지털논리회로실험]디코더, 인코더, 멀티플렉스
    ------- 디코드(Decode) --------이진수로 표시된 입력 코드를 어떠한 상태 또는 명령을 나타내는가를 해독하는 장치를 말하며, BCD 코드를 7-segment 숫자표시기로 ... 입력으로 10진이나 8진의 숫자를 받아들여 2진이나 BCD와 같은 code로 변환시킬수 있으며, 이것은 여러 가지 기호나 알파벳문자를 코드화하기도 하며, 기호나 숫자를 코드화하는 것을 ... ' D9 = AB'C'D그림 1 BCD-to-10진 디코더--- 3*8 디코더표 3*8 디코더D0=A'B'C'D1=AB‘C'D2=A'BC'D3=A'BCD4=AB'C'D5=AB'CD6
    리포트 | 10페이지 | 1,000원 | 등록일 2005.12.02
  • [특수 코드] 특수 코드 카운터
    BCD 코드와 5비트 이상의 BCD 코드{1 0 진 수4비트 BCD 코드5비트 이상의 BCD 코드5421242174217421842151111비퀴너리링카운터0000000000000000000000000001 ... -3 코드, BCD 코드, Gray 코드 등이 있다. ... ·BCD 코드의 가산법-BCD를 더한 결과, 각 자리수의 4비트를 더한 결과가 9보다 작을 경 우에는 작당한 BCD 수이므로 그대로 기입한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2003.04.30
  • 아주대_논리회로실험_예비7_복호기와 부호기
    Excess-3 CodeBCD Code에 3의 2진수 값인 0011을 더해준 것과 같은 결과를 출력하였음을 볼 수 있다.(4) 2단 2진 카운터의 출력을 다음 코드로 인코딩할 수 ... 목 적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작 원리를 이해한다.2. ... 4 또는 5, 혹은 4,5 모두를 디코딩하기 위한 그림을 그려라.< 그림 2. 3단 2진 카운터의 waveform과 4와 5의 decoding >(3) 그림 3이 Excess 3 코드
    리포트 | 3페이지 | 1,000원 | 등록일 2010.04.04
  • 디지털 논리 실험, 7-Segment 제어기 동작 원리와 디코더 결과 보고서
    실험 결과(1) 소스 코드module SEGMENT_7 (ABCD, SEG);input [3:0] ABCD;output [6:0] SEG;reg [6:0] SEG;always @ ( ... 무시한다.Ex) 0110그림 10진/BCD 우선 엔코더의 A-비트 출력에 대한 논리 회로그림 10진/BCD 우선 엔코더의 B-비트 출력에 대한 논리 회로그림 10진/BCD 우선 엔코더의 ... (소스코드)module SEGMENT_7 (ABCD, SEG);input [3:0] ABCD;output [6:0] SEG;reg [6:0] SEG;always @ (ABCD) begincase
    리포트 | 6페이지 | 1,500원 | 등록일 2009.07.18
  • 예비레포트10(Logic TimerCounter)
    (그림은 3비트)★ decade counter 십진 카운터, BCD (Binary Coded Decimal) 2진화 10진코드 카운터10진 카운터는 10개의 상태 순서에 따라 0에서 ... 따라서 10진 카운터는 4비트를 사용해야 2진 코드로 변환할 수 있으므로 4개의 플립플롭으로 구성해야 한다. 10진 카운터의 상태의 순서는 아래 그림과 같다. ... 즉, 첫번째 7490의 출력 D는 두번째 7490의 클럭 입력으로 연결하고, 7447 BCD-to-seven-segment 디코더에 의해 구동되는 7-segment LED로 BCD
    리포트 | 7페이지 | 1,500원 | 등록일 2009.11.27
  • 논리소자를이용한 전자회로실습 텀프로젝트
    Decoder)2진수를 10진수로 변환하는 BCD-to-Decimal Decoder로 15,14,13,12 번 핀으로 BCD코드를 정논리로 받으며, 1~11번 핀으로 부논리 출력 ... 대부분의 TTL IC에서 Vcc전원 연결단자로 연결하는 14번핀이 2진 카운터의 클럭펄스를 입력받기 위하여 마련되있다.회로구동원리 / 소자설명사용된 소자74LS42 (BCD-to decimal ... Test(Pin_3)은 BI가 High 일 때 Low 입력을 주면 모든 Segment 출력이 Low로 된다(그러면 모든 Segment가 켜 진다).회로구동원리 / 소자설명사용된 소자BCD입력출력해당하는숫자0000LLLLLLH00001HLLHHHH10010LLHLLHL20011LLLLHHL30100HLLHHLL40101LHLLHLL50110HHLLLLL60111LLLHHHH71000LLLLLLL81001LLLHHLL9Anode
    리포트 | 24페이지 | 1,000원 | 등록일 2010.06.18
  • BCD-seven segment
    BCD를 seven segment로 변환하는 회로를 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity bcd_7_seg isport ... ( x:in std_logic_vector ( 3 downto 0 ); seg:out std_logic_vector ( 6 downto 0 ));end bcd_7_seg ... ;architecture test of bcd_7_seg isbeginprocess(x)beginif ( x="0000") thenseg
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • 홀소자를 이용한 저금통 동전 수 카운팅
    to 7-Segment LED Display Decoder-Driver (for Anode Common type)출력 : BCD코드를 십진수로 표기되도록 7비트의 7-segment ... Segment LED(HS-S1036A)274LS393174LS00(NAND게이트)174LS472A3144EU(Hall-Effect sensor)1150Ω14라) 기초학습: IC: BCD
    리포트 | 4페이지 | 1,500원 | 등록일 2010.12.15
  • [논리회로실험]실험7예비보고서 복호기와 부호기
    Excess-3 코드란 일반적으로 알려진 BCD(8421)코드에서 0011값인 3을 더한 코드와 같으므로 이러한 이름이 붙여지게 되었다. ... 또한 3초과 코드는 각 비트를 반전하여 보수를 취하면 10진수에서 9의 보수값이 되므로, 자기 보수 코드라고도 불린다. ... 어떻게 만들어 내는지를 설명하라.먼저 Excess-3 코드에 대해 알아보겠다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.04.12
  • 컴활1급 컴퓨터일반 정리!
    기 : 연산된 결과를 일시적으로 저장②데이터 레지스터: 연산에 사용될 데이터를 기억③상 태 레지스터: 연산중에 발생하는 여러 상태값 기억④인덱스 레지스터: 주소 변경을 위해 사용BCD코드 ... : 6비트 사용, 64개 문자 표현ASCII코드: 7비트 사용, 128개 문자 표현EBCDIC코드: 8비트 사용, 256개 문자 표현유 니 코 드: ①16비트 사용, 한글의 조합형 ... , 완성형, 옛글 자 표현가능 ②최대 65,536자를 코드화가 능 ③세계 각국의 언어를 통일된 방법으로 표현하는 국제코드규약디지털워터마크: 저작권 정보를 식별할 수 있도록 삽인된 비트패턴TCP
    시험자료 | 5페이지 | 1,500원 | 등록일 2014.06.17
  • [A+] 랩뷰(lap view) 예비레포트
    그 구성은 디지털 코드(BCD, Binary, Gray Code 등)로 되어 있으며, 흔히 2 에 승수로 나타난다.② 위치, 방향, 속도, 각도 제어가 가능하다.③ 전원 OFF -> ... 여러 가지 형태로 분류 되는데 기능면에서는 광학식 엔코더(Optical encoder)에서 Incremental Encoder와 Absolute Encoder로 나뉠 수 있고, 코드
    리포트 | 6페이지 | 1,500원 | 등록일 2014.01.05
  • 논리 회로 설계 및 실험 디지털 시계 기말과제
    Counter (10진 카운터)BCD 카운터는 10개의 BCD 코드 값(0000, 0001, 0010, ... , 1000, 1001)을 상태 값으로 가지는 카운터를 말하고, 십진 ... BCD-to-7-segment DECODER를 통해 모든 시간이 정상 작동 하였다.A main function of the displaymodulo-6 카운터, BCD 카운터는 설계를 ... (BCD-to-7-Segment Decoder)A main function of the display (Display에서의 핵심 기능)Time Set (시간설정)A circuit
    리포트 | 15페이지 | 1,000원 | 등록일 2010.10.19
  • 7세그먼트 디코더
    고찰이번 실험을 통해 BCD/7-세그먼트 디코더 드라이버의 기능을 확인할 수 있었는데 그 기능은 입력받은 BCD 코드 값으로 7-세그먼트 디스플레이 소자의 적정 요소를 on 시켜 0에서 ... 드라이버는 입력받은 BCD 코드로 7-세그먼트 디스플레이 소자의 적정 요소를 on 시켜, 0에서 9까지의 숫자를 표시하는 장치이다. ... >4진수B (V)A (V)*************. 4진수/2진수(4-line to 2-line) 우선순위 인코더를 AND, OR, NOT 게이트를 사용하여 설계한 회로의 출력을 BCD
    논문 | 4페이지 | 3,000원 | 등록일 2015.06.23
  • 8비트 가산기 디지털회로실험 예비보고서
    코드를 해석해보면 4비트의 bcd_in 입력에 대해 7비트의 출력결과가 나타나게 된다. default의 출력결과는 b1111110가 나타난다. ... 서로다른 숫자모양을 출력하는 7-세그먼트의 출력을 verilog HDL코드로 확인해보는 실험이다. ... 이번에는 verilog HDL 코드를 이용해 8비트 7-세그먼트의 동작을 시뮬레이션으로 확인해보는 실험을 해보았다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • 컴퓨터 Data & 연산의 이해
    문자 Data ● 2진화 10진(BCD : Binary Coded Decimal) 코드 - BCD 코드는 제 2세대 컴퓨터에서 주로 사용하였던 코드로 4bit, 5bit, 6bit로 ... 영역은 왼쪽부터 4비트로 되어 있고, - 숫자 비트는 BCD 코드와 동일하다. - BCD 코드는 영문 소문자를 표현하지 못하나 EBCDIC 코드는 영을 흐름에 따라 빠르게 연속적으로 ... - 주로 IBM 계열의 대형컴퓨터에서 많이 사용하는 코드 - BCD 코드를 확장한 코드 - 8bit로 구성되어 있으며 표현할 수 있는 문자나 기호는 256(=28)가지 - 존 비트
    리포트 | 37페이지 | 2,500원 | 등록일 2009.02.05
  • 디지털회로 설계의 기초 5장 연습문제 풀이
    변환하는 코드 변환기(code converter)4-비트 이진수를 BCD로 변환하는 코드 변환기를 위해서는 4-to-1 Mux가 4개 필요하다그러므로 ROM의 크기는 24x35.6 ... (나) 4-비트 가감산기(adder-subracter)4-비트 가감산기를 위해서는 4개의 4-to-1 Mux가 필요하다.그러므로 ROM의 크기는 24x3(다) 4-비트 이진수를 BCD
    리포트 | 6페이지 | 1,000원 | 등록일 2007.12.01
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:42 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감