• 통큰쿠폰이벤트-통합
  • 통합검색(518)
  • 리포트(468)
  • 시험자료(37)
  • 방송통신대(7)
  • 자기소개서(4)
  • 이력서(1)
  • ppt테마(1)

"Shift Registers" 검색결과 321-340 / 518건

  • 레지스터 실험 예비 보고서
    실험 목적※레지스터의 기본 원리를 이해한다.※시프트 레지스터(Shift register)를 이용한 카운터의 동작을 이해한다.2. ... 이렇게 여러 비트의 정보를 저장하기 위하여 플립플롭과 새로운 정보의 전송시기와 방법을 제어하는 게이트로 이루어진 회로를 레지스터라고 한다.(1)시프트 레지스터시프트 레지스터는 잠정적인 ... 이것이 1 (즉 쓰기)이면 시프트 레지스터는 일반적으로 동작하며, 입력 데이터는 모든 클럭 주기마다 한 번씩 상승하고, 레지스터의 마지막 데이터는 손실된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2011.04.01
  • [디지털통신] 채널부호화
    스위치(commutator switch)를 통하여 부호비트를 교삽시키는 구성으로 된다.Input data Shift registersbits1 2n Modulo-2 Addersn-tuple ... 아래왼쪽의 부호기에서 스피트레지스터의 초기상태를 [0 0 0]이라 할 때 입력 비트의 상태에 따라 시프트 레지스터와 출력상태를 나타내는 상태도느, 시프트레지스터의 과거 구속비트의 상태 ... 컨벌루션 부호기는 아래와 같이 세가지 정수에 의하여 표현되며, 미리 설정한 가장 최근의 몇개의 비트를 사용하기 위해 기억 소자(쉬프트 레지스터)와 mod-2가산기 그리고 출력 전화
    리포트 | 7페이지 | 1,500원 | 등록일 2012.06.18
  • [마이크로프로세서실험] Text LCD 예비보고서
    Text LCD의 레지스터 및 조작 방법Text LCD의 레지스터로는 IR(Instruction Register)과 DR(Data Register)이 있는데, 이 8bit 레지스터들은 ... B=0이면 커서는 깜빡이지 않는다.5) Cursor or Display Shift커서 디스플레이 쉬프트 인스트럭션은 S/C와 R/L의 값에 따라 결과가 나뉘는데, 그 결과들의 경우의 ... Text LCD의 레지스터 및 조작 방법2. Reference1. 예비조사 및 실험 내용의 이해? LCD란?
    리포트 | 11페이지 | 1,500원 | 등록일 2012.05.30
  • 4bit circular shift register (Xillinx, Verilog, 소스코드, 파형포함)
    (shifted_A));// shift registerregister UregA ( .clk(clk), .rst(rst), .din(shifted_A), .dout(readA));register
    리포트 | 6페이지 | 1,500원 | 등록일 2012.04.02
  • UART
    Register, Transmit Holding Register 또는 FIFO에 데이터가 있음.1- Transmit Shift Register, Transmit Holding Register ... Divisor Latch Register이 레지스터는 상위/하위 레지스터로 구분되며, DLAB비트를 1로 만든상태에서 베이스주소에 접근하여 보레이트를 조정할 수 있다. 14.7456 ... Interrupt 허용/금지.3MIEModem Status Interrupt 허용/금지2RLSEReceiver Line Status I가 모두 비었을 때 셋된다.0 - Transmit Shift
    리포트 | 31페이지 | 3,000원 | 등록일 2010.10.17
  • 실험 6. 시프트레지스터와 카운터
    시프트레지스터와 카운터(Shift Register & Counter)1. 실 험 결 과실험 1. ... Circulating Shift Register - Truth Table◆ 실 험 결 과Shift PulseL0L1L2L3L40LLDDD1DLLDD2DDLLD3DDDLL4LDDDL5LLDDD6DLLDD7DDLLDCirculating ... Shift Register는 7496 집적회로를 이용해서 입력된 신호가 좌우로 끝까지 shfting 되었을 경우 reset이 되는게 아닌, 계속해서 원을 그리듯 동작을 하는 회로이다
    리포트 | 17페이지 | 2,000원 | 등록일 2009.03.10
  • 컴퓨터활용능력 1급 필기 1교시(컴퓨터일반)
    명령레지스터(Instruction Register) 현재 실행 중인 명령의 내용을 기억? 연산 장치? 가산기(Adder) 2진수의 덧셈을 수행하는 회로? ... Ctrl + Shift + Esc : 작업관리자? ... RISC : CISC 보다 우월, 명령어들의 수가 적어 많은 수의 레지스터가 필요함? 버스? CPU 내부에서 레지스터 간의 데이터 전송에 사용되는 통로?
    시험자료 | 6페이지 | 1,500원 | 등록일 2013.07.02 | 수정일 2013.11.14
  • 캡스톤 설계((지하주차장)
    Shift를 위한 clock이 상승할 때 serial 입력이 한 칸씩 밀리고 latch clock이 들어오면 latch에 저장되어 있던 데이터가 한꺼번에 출력된다. ... register 74HC595 소자를 사용하여 I/O를 확장하였다. 74HC595 소자를 사용하면 4개의 포트만으로 8개의 I/O를 이용할 수 있다. 74HC595는 positive ... OFF{OE_1=0;RedWriteData(ledoff); // all outputs 1}동작방법ATmega128의 I/O 포트의 수가 제한되어 있는데 많은 LED를 제어하기 위해 Shift
    리포트 | 36페이지 | 4,000원 | 등록일 2012.05.28
  • 레지스터 실험(Pspice)
    목적▣ 레지스터의 기본 원리를 이해한다.▣ 시프트 레지스터(Shift register)를 이용한 카운터의 동작을 이해한다.중략..3. ... 고찰 D F/F 를 이용한 4비트 우측 시프트 레지스터를 위와 같이 구성했다. D F/F은 4개가 필요 했고 PRE 와 CRL 에는 HI를 인가하여 일정한 값을 주었다. ... 시프트 레지스터란 내부에 저장돼 있는 2진 정보를 우측 혹은 좌측으로 이동 시킬 수 있는 장치이다. 모든 플립플롭에 공통의 클럭 펄스를 입력시키면 한 단계씩 자리이동이 발생한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.12.24
  • [레지스터 특징][쉬프트레지스터 개념][쉬프트레지스터 원리][쉬프트레지스터 종류]레지스터의 특징 고찰과 쉬프트레지스터의 개념, 쉬프트레지스터의 원리, 쉬프트레지스터의 종류에 관한 분석(레지스터)
    Serial-In, Parallel-In, Serial-Out, Parallel-Out, Shift-Left, Shift-Right 등의 모든 기능을 동시에 갖추고 있는 쉬프트 레지스터를 ... Shift-Right, Shift-Left, Bi-Directional 의 세가지로 구분되며, 클럭 펄스 CLK 가 들어올 때마다 데이타가 오른쪽으로 한 번씩 이동하게 되므로 쉬프트 ... 우 쉬프트 레지스터플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터를 우쉬프트 레지스터(right shift register)라 한다.
    리포트 | 6페이지 | 5,000원 | 등록일 2009.07.12
  • 컴활 2급 필기 기출 오답정리
    중계 장치로 리피터(Repeater)* 악성 코드웜 /트로이 목마 / 드로퍼(( 파이어 월 X )) - 방화벽[ 2011년도 1과목 ] #1* 산술 논리 연산 장치의 구성 요소상태 레지스터 ... / 누산기/ 보수기((프로그램 카운터 X))* 개인용 컴퓨터(PC)에서 문자를 표현하기 위해 사용하는 코드 형식 ASCII 코드* 휴지통에 저장되지 않는 경우로〈Shift〉를 누른 ... X ))* 케이블 망, 위성방송, DVD = MPEG-2*휴지통을 거치지 않고 바로 삭제하는 단축키로 [Shift+Delete]*동영상 전송 기술과 관련하여 스트리밍(Streaming
    시험자료 | 17페이지 | 3,500원 | 등록일 2015.11.02
  • VHDL 예약어와 연산자
    , of, on, open, or, others, out, package, port, postponed, procedure, process, pure, range, record, register ... Shift Operatorsll : Logical lift shiftsrl : Logical right shiftsla : Arithmetic left shiftsra : Arithmetic
    리포트 | 2페이지 | 1,000원 | 등록일 2011.03.27
  • 실험 6. 시프트레지스터와 카운터
    시프트레지스터와 카운터(Shift Register & Counter)1. 실 험 목 적시프트 레지스터와 링 카운터의 동작 원리와 특성을 이해한다. ... 이 론◆ 시프트레지스터(shift register) & 링카운터(ring counter)? ... 양방향 이동(Bidirectional)또한 쉬프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4bit shift register, 8개면 8bit shift register라
    리포트 | 15페이지 | 2,000원 | 등록일 2009.03.10
  • Verilog 4bit ALU Design (4비트 ALU설계)
    동작 모드 선택- 6bit : Register ra의 Enable 신호- 7bit : Register rb의 Enable 신호◇ Register Module은 4bit Register를 ... 사용한다.- opcode(6)=opcode(7)='1' : 레지스터로 동작이 Enable- Clock(클럭) : 상승에지에 동기- Reset(리셋) : Active Low로 동작◇ ... .◇ 단자 opcode의 8bit는 다음과 같은 제어신호로 사용된다.- 2~0bit : 연산자의 연산 종류를 선택- 3bit : Multiplxer의 입력 선택- 5~4bit : Shift
    리포트 | 6페이지 | 3,500원 | 등록일 2009.11.26 | 수정일 2020.09.10
  • [디지털]디지털논리회로실험 11,13,14장 예비 레포트
    register와 Asynchronous Counter1. ... 과 7400 (2입력 NAND Gate)을 사용하여 [그림 11-15]와 같이 JK Flip Flop을 구성하고, 입력 값에 따른 출력 값을 [표 11-6]에 기입하라.제 13장 Shift
    리포트 | 18페이지 | 1,500원 | 등록일 2006.05.11
  • 컴퓨터구조론(상용CPU조사)
    바이트(8비트) 전송명령MOVEQ 명령MOVEQ #, Dn [.L]immediate quick 어드레싱에 의한 데이터 전송명령으로 즉시값 데이터는 op 워드의 8비트 필드에 담겨진다.Register ... 받으나 연산자의 크기가 워드인 경우는 SR 전체가 영향을 받으며 이 명령은 특권명령이 된다.NOT [.B, .W, .L]목적 연산자에 대한 1의 보수를 취하여 목적 연산자에 저장SHIFT ... 및 ROTATE 명령Shift 명령ASL, ASR Dx, Dy [.B, .W, .L]규정된 방향(L은 왼쪽 방향, R은 오른쪽 방향)으로 목적 연산자의 비트를 산술 쉬프트(Arithnmetic
    리포트 | 30페이지 | 2,000원 | 등록일 2010.04.28
  • (전실결과)DC모터 드라이버
    그래서 우리는 RPM값을 Shift register를 이용하여 15번 Shift하여 RPM값 15개를 받아 이를 평균내어 출력하도록 하였다. ... 이렇게하여 60초동안 회전한 수인 RPM을 구할 수 있었다.이동평균을 구하는 Shift register실험결과분석 : RPM의 값을 바로 Gauge에 입력하면 값의 팅김 현상과 에러
    리포트 | 11페이지 | 6,000원 | 등록일 2012.03.21 | 수정일 2015.09.04
  • 동기식 카운터와 비동기식 카운터
    1. 비 동기 카운터비 동기 카운터(Asynchronous)는 출력파형의 반복되는 현상으로부터 리플 카운터(ripple conuter)라 하기도 하고 각 Flip-Frop의 출력이 2ⁿ의 값을 나타내는 특징으로부터 이진 카운터(binary counter)라고도 한다. ..
    리포트 | 3페이지 | 1,000원 | 등록일 2008.11.20
  • [암호학프로그램]HAS를 구현한 소스파일입니다.
    매크로 함수 */#define BTOW(a,b,c,d) ( ((a < 24) + (b < 16) + (c < 8) +d) ) // byte에서 word로 변환#define CIR_SHIFT ... UINT c, UINT d, UINT e); // word단위의 데이터를 byte단위로 변환/* 전역 변수 */static UINT init_reg[5] = {0,}; //초기 레지스터static
    리포트 | 10,000원 | 등록일 2011.06.15 | 수정일 2017.07.04
  • 실험9예비[1].DAC&ADC
    사용하여전 회로를 설계하시오.Ring Counter의 동작을 먼저 간단히 살펴보면 각 bit의 값이 CLK이 들어올 때마다 왼쪽이나 오른쪽으로 Shift 하는 과정을 반복하며 Bit ... 이 값이 위의 그림에서 보면 Storage Register로 연결되어 있고, 비교기에 출력은 Storage Register의 저장을 제어하도록 되어 있다. ... Register에 값은 DAC를 통해 비교기에 연결된다.순차적으로 살펴보면 Ring Counter에 ‘1’이 LSB에서 MSB로 이동하면서 그 값은 Register와 DAC를 거쳐서
    리포트 | 12페이지 | 1,000원 | 등록일 2011.06.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:14 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대