• 통큰쿠폰이벤트-통합
  • 통합검색(518)
  • 리포트(468)
  • 시험자료(37)
  • 방송통신대(7)
  • 자기소개서(4)
  • 이력서(1)
  • ppt테마(1)

"Shift Registers" 검색결과 221-240 / 518건

  • flip-flop and counter design(예비)
    .- 6-bit serial shift register② Bi-directional Shift RegisterShift register normally receives input in ... Left mode, Mux signals can be selected as in the figure.S1S2Mode00Hold01Shift Right10Shift Left11Not ... Also, if the clock input is maintained at 0, the value of shift register can be held.
    리포트 | 16페이지 | 1,000원 | 등록일 2011.07.09
  • verilog를 이용한 shift Register ,SIPO ,SISO
    따라서 빨간 동그라미로 표시한 부분에서 노란 동그라미 까지 총 8주기인 것을 확인 할 수 있다.8Bit Shift-Resister series-in, parallel-outsorcesimulation시프트레지스터의 ... 시프트 레지스터의 입력이 출력 플립플롭의 처음단의 S7에서 같은 입력이 나오는것을 볼수 있고 그다음 단의 레지스터 플립플롭은 한클럭뒤에 출력이 나오는것을 볼수 있다. ... 200824565 이창준8Bit Shift-Resister series-in, series-outsourcesimulationS0에서 출력이 입력된 신호의 젤 오른쪽 비트에서 출력을
    리포트 | 3페이지 | 1,000원 | 등록일 2010.11.11
  • Xilinx IP core의 설계 및 VHDL의 기초 설계법
    "_Toc184483312" 2.1.4 bit Counter 설계하기 PAGEREF _Toc184483312 \h 4 HYPERLINK \l "_Toc184483313" 2.2.Shift ... 레지스터 설계하기 PAGEREF _Toc184483313 \h 11 HYPERLINK \l "_Toc184483314" 3.VHDL을 이용한 Xilinx IP Core의 설계 PAGEREF
    리포트 | 29페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • 시프트 레지스터 예비보고서
    목 적순서논리회로의 기본적인 응용회로가 되는 시프트 레지스터 (shift register), 링 카운터(ring counter), 존슨 카운터 (Johnson couter), 의사 ... 또 데이터 이동방향에 따라 우측 이동 (Shift-Right), 좌측이동(Shift-Left), 양방향성 (Bi-Directional)의 세 가지로 구분된다.아래 그림은 CLK가 들어올 ... 쌍안정 소자의 출력(Q와 Q`)이 다음 쌍안정의 J, K의 입력에 연결된다면 다음 단의 쌍안정 회로는 이동 명령(Shift pulse)에 의하여 전단의 쌍안정 회로가 가졌던 상태의
    리포트 | 8페이지 | 1,000원 | 등록일 2015.12.20
  • 아주대학교 논리회로실험 실험7 결과보고서
    Register -1. ... 실험 결과실험 11) 6bit Shift Right RegisterR-S Latch with Enable결선도S=0, R=1, C=1S=1, R=0, C=1- 진리표- 분석이번 실험은 ... in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.과목명: 논리회로실험EXPERIMENT 7- Shift
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • shift register
    SHIFT REGISTER쉬프트(shift)란 "위치를 바꾸다. 이동한다." ... .Ⅳ.결과1) 시프트 레지스터SIPO Shift Register Truth TableINPUTSOUTPUTSData = SW2ClockL(a)L(b)L(c)L(d)H↑1000H↑1110H ... 즉, register에 입력된 2진정보가 한 방향씩 저장되어 이동되는 것을 알 수 있다.시프트 레지스터의 특성내부에 저장된 2진 정보를 우측,혹은 좌측으로 이동시킬 수 있는 레지스터이며
    리포트 | 5페이지 | 1,000원 | 등록일 2009.11.01
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Post
    [참고] Display shift register bitsregister bitsLCD display를 shift할 경우, Cursor/Display Shift에서 S/C를 1로, ... [응용] Text LCD display shiftSimulationLCD Line1, Line2에 문자열 data가 들어간 이후, 주기적으로 display를 shift해주는 register ... readability를 높이고, reusable한 source code가 될 것이다.ConclusionVerilog HDL을 이용하여 LCD가 정상 작동함을 확인하였고, LCD와 관련된 Register
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 실험5시프트레지스터-정보
    실험 목적① 시프트레지스터(shift register)의 기본원리를 이해한다.② 각종 시프트레지스터의 구성방법과 용도를 파악한다.③ 플립플롭의 응용능력을 향상시킨다.④ 링카운터 존슨카운터의 ... 순환쉬프트레지스터이다(a) SIPO 시프트레지스터4. ... 시프트 레지스터1.
    리포트 | 7페이지 | 1,000원 | 등록일 2015.12.10
  • Analysis of experimental[기초회로실험]
    Counter and Shift Register1.
    리포트 | 85페이지 | 4,000원 | 등록일 2012.10.28
  • [디지털 논리회로 실험] 14장. 레지스터 결과레포트
    입력출력SIQ _{2}Q _{1}Q _{0}초기화11100211103001141101500106110170010- 고찰 -시프트(Shift) 레지스터는 자리이동 레지스터라고도 불리며 ... 그름 은 전송제어 입력이 있는 병렬레지스터의 회로도이다. 전송 제어 입력이 0이면 레지스터 입력이 전송되지 않으며 전송 제어 입력이 1일 때만 레지스터 입력이 전송된다. ... 전송제어 입력이 1이면 멀티플렉서의 출력은 입력I _{1}, 즉 레지스터의 입력이므로 플립플롭의 출력은 레지스터의 입력이 출력된다. 즉, 레지스터의 입력이 전송된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.09
  • [디스플레이 시스템 실험] LCD Panel 측정
    이 때 Shift register의 역할과 OE신호가 하는 역할에도 관계하여 분석 해 본다.1. ... Register의 회로도2.2 신호의 종류디지털 신호STH, TP, REV, CD 중 STH는 Shift register의 Start Pulse이다. ... 실험 이론2.1 Shift registerShift Register는 연속적인 Clock 명령에 따라 정보가 한 번에 한 자리씩 이동하는 일종의 기억 소자이다.
    리포트 | 8페이지 | 5,000원 | 등록일 2011.08.10
  • 전전컴설계실험2-8주차결과
    수 있었다.5.결론(Conclusion)가지고 있는 2진 정보를 인접한 셀에 원하는 방향으로 자리옮김(Shift)을 시킬 수 있는 레지스터를 시프트 레지스터(Shift Register ... 시프트 레지스터의 논리적 배치는 한 플립플롭의 출력이 다음 플립플롭의 입력에 종속 연결된 형태로 되어 있다. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit Shift Register 를 설계한다..2. 4-bit Shift Register 를 Synthesize
    리포트 | 16페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • [논리회로실험] 시프트레지스터와 카운터 (결과)
    결과 값이 레지스터의 처음 데이터로 입력되는 Circulating Shift Register의 특성도 파악하였다. ... Circulating Shift Register - Truth Table그림 3의 회로에서 SER(9번핀)과 QE(10번핀)을 연결하여 그림 4의 회로를 구성하라.그림4. 5-bit ... shift-right circulating shift register(1) 시프트 레지스터를 클리어하라.(2) QA, QB가 1이 되도록 하라.(3) 스위치 box로 CLK를 가하여
    리포트 | 8페이지 | 1,500원 | 등록일 2009.03.20
  • 전전컴설계실험2-8주차예비
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit Shift Register 를 설계한다..2. 4-bit Shift Register 를 Synthesize ... Code4bit Shift Register Simulation-내용4bit Shift Register SimulationCLK =1 이 될 때 Data Input=1 이 될 때 Output이 ... Register (Included Enable)를 Synthesize - XST Compile 과정을 거쳐 Compile한다3. 4-bit Shift Register (Included
    리포트 | 11페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • [논리회로실험] 시프트레지스터와 카운터 (예비)
    Circulating Shift Register - Truth Table그림 3의 회로에서 SER(9번핀)과 QE(10번핀)을 연결하여 그림 4의 회로를 구성하라.그림4. 5-bit ... 이것은 우측 쉬프트(right shift)의 한 예이다.D플립플롭을 이용해서 구성한 우측 쉬프트 레지스터(right shift register)이다. ... shift-right circulating shift register(1) 시프트 레지스터를 클리어하라.(2) QA, QB가 1이 되도록 하라.(3) 스위치 box로 CLK를 가하여
    리포트 | 16페이지 | 1,500원 | 등록일 2009.03.20
  • 아주대 논회실 논리회로실험 실험7 결과보고서
    플립플롭 6개를 일련으로 연결하여 레지스터를 구성하고 Shift 과정을 추가하여 Shift Register를 구성하고 쉬프팅을 관찰하였다. ... -실험 이론- Shift Register매 클록 주기로 모든 비트를 한 자리 옮기게 하는 레지스터이다. ... Shift Register는 레지스터에 저장된 데이터가 하나씩 이동하는 회로이기 때문에 이동되고 난 후 이동되기 전 자리의 데이터는 사라져야 하지만 실험 결과에선 사라지지 않고 남아있다
    리포트 | 6페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • [컴퓨터구조론][컴퓨터 구조 및 설계]3장 연습문제
    각 단계에서 각 레지스터의 내용들을 보여 주어야 한다.0x62 * 0x12 = 0x 6E4IterationStepMultiplierMultiplicandProduct0초기값0001 ... 00100110 00100000 0000 | 0000 000011: 0 => No operation0001 00100110 00100000 0000 | 0000 00002: Shift ... 10010110 00100000 0000 | 0000 000021a:1=>Prod=Prod+ Mcand0000 10010110 00100110 0010 | 0000 00002: Shift
    리포트 | 3페이지 | 1,000원 | 등록일 2016.04.20
  • 논리회로실험 결과 7
    실험 결과이번 실험은 플립플롭의 실용적 예인 시프트 레지스터(Shift Register)를 실험했다. ... 시프트 레지스터는 잠정적인 데이터 저장 능력을 갖추도록 클럭 펄스가 인가될 때마다 저장된 데이터가 좌우로 이동한다. 시프트 레지스터의 내부는 F/F으로 구성돼 있다. ... 위해 시프트 레지스터의 마지막 출력 QE와 SER을 연결하여 QE의 값에 의해 순환하는 시프트 레지스터가 구현될 수 있도록 설계하였다.아래의 회로도와 예상 결선도에서 확인할 수 있듯이
    리포트 | 12페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2021.10.31
  • 컴활1급 필기(1과목 컴퓨터일반) Sno
    서버, 저가(저전력), 명령어(적음, 간단) -> 플밍 복잡, 처리속도 빠름, 레지스터 많음CISC ? ... , 데이터 버스내부 버스 : CPU↔레지스터 데이터 전송바이오스 : 펌웨어, ROM-BIOS, 전원 -> POST(PC 점검) -> 장치 초기화 -> 윈도우전까지 부팅RAID (여러 ... 개인PC, 고가(고전력), 명령어(많음, 복잡) -> 플밍 간단, 처리속도 느림, 레지스터 적음* 외부 버스 : CPU↔주변장치, 시스템 버스, I/O 버스 / 제어 버스, 주소 버스
    시험자료 | 10페이지 | 1,500원 | 등록일 2018.07.21
  • 디지털논리회로실험 - 제 11장 시프트레지스터와 시프트 카운터
    디지털회로실험예비 보고서(제 11장 Shift Register와 Shift Counter)학과학번성명1조컴퓨터공학과20040244김선습안현태안정민김성훈제 11장 Shift Register와 ... 가능하게 되는데이 중 왼쪽으로 이동하는 것을 Shift-Left Register, 오른쪽으로 이동하는 것을 Shift-Right Register라고 한다. ... 실험 과정, 회로도 및 타이밍 다이어그램그리고 예비실험 및 조사2.1 Shift Register레지스터는 일종의 데이터 기억 소자이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2008.12.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대