• 통큰쿠폰이벤트-통합
  • 통합검색(518)
  • 리포트(468)
  • 시험자료(37)
  • 방송통신대(7)
  • 자기소개서(4)
  • 이력서(1)
  • ppt테마(1)

"Shift Registers" 검색결과 281-300 / 518건

  • 09시프트 레지스터 예비
    [그림 8-7] 입력 선택 레지스터다. 시프트 레지스터(Shift Register)시프트 레지스터는 클럭이 발생할 때마다 내용을 한 비트씩 이동하는 레지스터이다. ... 레지스터(Register)레지스터는 플립플롭의 집합으로 구성할 수 있다. 각 플립플롭은 한 비트의 정보를 저장한다. ... 시프트 레지스터 예비보고서1. 목적가. 4비트 동기 카운터를 설계하고 구현한다.나. 4비트 레지스터를 설계하고 구현한다.다. 3비트 시프트 레지스터를 설계하고 구현한다.2.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.11.06
  • 디지털논리회로 비밀번호 일치,불일치 회로 시뮬레이션
    하지만 실제 번호 일치/불일치 회로가 들어간 장치에 가까운 회로를 만들기 위해서는 Shift Register와 GAL 칩 등을 활용할 수 있어야 하는데, 이를 아직 잘 모르겠습니다.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.05.16 | 수정일 2014.06.03
  • VHDL을 이용한 롬 & 램 설계에 대한 이해
    입력 후, 4클럭 째에 갱신이 된다.합성 결과를 보면 4개의 레지스터가 생성된 것을 확인할 수 있다. VARIABLE을 이용한 SHIFT1. 지역적 정보를 표현한 것이다.2. ... SIGNAL을 이용한 SHIFT1. SIGNAL은 회로의 상호연결을 표현한 것이다.2. ... 사용가능하다.시뮬레이션 결과를 보면 VARIABLE을 사용했을 때에는 그 값이 바로 다음 클럭에서 갱신되는 것을 확인할 수 있다.위의 SIGNAL을 사용했을 때와는 다르게 단 하나의 레지스터
    리포트 | 5페이지 | 무료 | 등록일 2012.06.28 | 수정일 2018.05.29
  • 임베디드 시스템 실험 3주차 ARM Assembly Study(2), ADS
    이로써 Operand2로서 register와 함께 ASR(Arithmetic Shift Right)이 올 수 있다는 것을OR Rd, Rn, Op2Rd := Rn XOR Op2*ROR ... 대해 오른쪽으로 Rotate동작이 1번 일어나도록 하는 Shift동작이다. ... (ROtate Right)Operand2 레지스터에 대해 오른쪽으로 Rotate동작이 일어나도록 한다.Rotate되는 동안 최하위비트가 그림과 같이 최상위비트로 넘어가게 된다.
    리포트 | 27페이지 | 4,000원 | 등록일 2013.10.28
  • 디지털시스템) Verilog를 이용한 Single cycle 구현
    res,Jump_out,pc_out);Add a0(pc_out,32'h00000004,add_out);Instruction_memory im0(pc_out, instruction);Register ... );Mux32 m4(add_out,Branch_result,Branch,Branch_out);Mux32 m5(Branch_out,Jump_address,Jump,Jump_out);Shift_left ... RegWrite,MemRead,MemWrite,Branch,ALUOp,Jump);ALU_Control c2(ALUOp,instruction[5:0],ALU_control_lines);Shift_left
    리포트 | 2,000원 | 등록일 2013.06.09
  • 임베디드프로그래밍_프로젝트
    출력된 문자는 명령어 입력으로 Shift, DISPLAY off 기능들이 가능하다.4. ... Pulse Width Modulation (PWM)- Timer 설정에서 내장된 비동기식 설정으로 Register overflow방법과 동기식 Compare Match방법을 이용,
    리포트 | 6페이지 | 1,000원 | 등록일 2017.12.16
  • 임베디드 시스템 실험 5주차 ARM명령을 이용한 S3C4510 IO 제어
    (PUSH : 스택에 저장하는 동작, POP : 스택에서 꺼내는 동작)Link Register (r14)r14는 링크레지스터(Link Register)라고 부르는데, 레지스터는 8086과 ... 이 플래그는 연산의 결과가 0이 되었을 경우에 Set이 된다.3) Carry/Borrow/Extend FlagC 로 표기되는 이 플래그는 자리올림이나 내림이 발생한 경우, 그리고 Shift ... ARM Status Register 6개 - 1개의 Status RegisterARM에는 32비트의 status 레지스터가 6개가 있다.
    리포트 | 22페이지 | 4,000원 | 등록일 2013.10.28
  • 서강대학교 마이크로프로세서응용실험 4주차결과
    Shift 동작, 그리고 sign extension 명령어들을 통해서 부호들의 고려 여부에 따라 결과가 어떻게 다른지 확인해보자.- Shift에서 arithmetic shift는 부호를 ... General Purpose Register의 내용을 넣게 해준다. ... 저장한다.● UBFX(Unsigned bit field extract) - zero extension 후 bitfield를 다른 레지스터에 저장한다.4.
    리포트 | 12페이지 | 2,000원 | 등록일 2014.01.02
  • 시프트레지스터
    실험13시프트 레지스터 (Shift Registers)결 과1) 그림 13-8에 따라 표 13-1을 작성하라.표 13-1 D 플립플롭을 이용한 4-bit 우측 시프트 레지스터의 동작CLRDin클록횟수ABCD0 ... IC 74164 8-bit 시프트 레지스터는 직렬 입력-병렬 출력이다. 직렬 입력-병렬 출력 시프트 레지스터는 카운터로서 가장 많이 사용된다. ... D플립플롭을 사용하여 4-bit 우측 시프트 레지스터실험을 하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.07.09 | 수정일 2014.05.21
  • 6주차 예비보고서(외부 메모리 인터페이스)
    Counter)- DDRAM과 CGRAM의 주소를 지정할 때 사용- IR에 주소 정보를 쓰면 주소 정보가 AC로 전송- DDRAM/DDRor Display Shift : 화면(S/ ... Address$000201Boot Reset AddressBoot Reset Address + $0002인터럽트 벡터의 배치② XMCRA(eXternal Memory Control Register ... - BF(Busy Flag)- AC(Address Counter)- 문자발생램(CGRAM)- 문자발생롬(CGROM)- 데이터표시램(DDRAM)③ 내장 레지스터- 명령 레지스터(IR)
    리포트 | 12페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 제 10장 (예비) 플립플롭과 카운터 설계 실험
    ① Master/Slave J-K 플립플롭을 verilog HDL 코드로 표현하시오.Master/Slave 플립플롭은 두단의 플립플롭을 직렬 연결한 것을 일컫는다. 앞단을 마스터, 뒷단을 슬레이브라 하며, 한 개의 클럭펄스가 동시에 마스터와 슬레이브를 동작시키도록 연결..
    리포트 | 5페이지 | 1,500원 | 등록일 2007.11.03
  • Matlab Cyclic Code(encoder, decoder)
    1번째 Shift Register 값에 선언하였습니다.endU(1:(N-K)) = LFSR; % 최종 Code word의 Parity bit 부분에 Shift Register 값을 ... Register 값으로 선언하였습니다.LFSR(j) = rem((LFSR(j-1) + feedback), 2);else % P(j)가 1이 아닌 경우, Shift Register의 ... (j-1)번째 값을 j번째 Shift Register 값으로 선언하였습니다.LFSR(j) = LFSR(j-1);endendLFSR(1) = feedback; % feedback 값을
    리포트 | 21페이지 | 3,000원 | 등록일 2012.11.05
  • #7 디지털실험 예비
    필요하고 원하는 횟수 50M일 때의 조건문으로 sec를 하나씩 세어간다.Part5.HELLO를 Shift시키고 blink시키는 8개의 Digit을 가진 회로 설계총 8개의 digit이 ... 4.1초를 세는 Counter 설계part2에서 이용한 방법을 활용하여 clock이 50MHz일 때, 50M번 clock이 움직여야 1초를 셀수 있다. 5M를 담을 수 있는 26비트 레지스터
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 통신실험 예비 11
    Linear Feedback Shift Register, LFSR)를 사용하는 방법이 있으며 통계적 균형성은 한 주기에 `0` 과 `1`이 균형적으로 됨을 나타낸다. ... 발생의 용이성으로는 보통, 피드백이 있는 결정적 과정(deterministic process)을 이용, PRBS를 발생시키는 가장 편리한 방법은 선형 피드백이 있는 시프트 레지스터( ... 하지만 무작위로 나타나는 shift register들의 수를 이용한 periodic sequences은 쉽게 생성이 가능하다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.12.05 | 수정일 2014.12.19
  • C 기반 ALU 설계. 컴퓨터구조 ALU 설계 과제
    Unit Logic Unit Complementer Shift Register Status Register 사칙연산을 수행 And, OR 등 논리연산 수행 2 진 데이터를 2 의 ... 보수처리 비트들을 L or R 로 이동 Flag 를 저장하는 레지스터C 언어는 프로그램 오류를 쉽게 발견하기 위한 기능은 부족하지만 , 고수준 언어에서 자주 볼 수 있는 기술상의 제약이 ... Control Unit 으로부터 Control signal 을 받고 Register 로부터 입력 데이터를 받아서 flag 들과 출력 데이터를 Register 에 저장Arithmetic
    리포트 | 33페이지 | 3,500원 | 등록일 2013.01.28
  • 레지스터 실험(예비)
    실험 목적- 레지스터의 기본 원리를 이해한다.- 시프트 레지스터(Shift register)를 이용한 카운터의 동작을 이해한다.? ... CPU내부에는 여러 개의 레지스터가 있으며 각종 명령을 실행할 때의 명령의내용 또는 자료를 일시적으로 유지하거나 사는데 사용된다.- 시프트 레지스터시프트 레지스터는 플립플롭을 직렬로 ... 시프트 레지스터라 한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2012.10.11
  • [Flowrian] 8 비트 쉬프트 레지스터 회로의 Verilog 설계 및 시뮬레이션 검증
    Behavior 형식 8 비트 쉬프트 레지스터 회로의 Verilog 설계 및 검증3. 8 비트 레지스터의 Verilog 설계 및 검증4. ... Structure 형식 8 비트 쉬프트 레지스터 회로의 Verilog 설계 및 검증 ... 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1. 8 비트 쉬프트 레지스터 회로의 사양2.
    리포트 | 14페이지 | 1,000원 | 등록일 2011.11.07
  • 아주대논리회로실험 7장 시프트레지 결과(무답+빵판+고찰)
    Circulating Shift Register - Truth Table실험3 : 2) 집적회로 시프트 레지스터 - B.Circulating Shift Register그림 3의 회로에서 ... 그러면LED의 불은 오른쪽으로 이동하면서 들어온다.6) 표 4를 완성하라.Shift PulseL0L1L2L3L40+5+500010+5+500200+5+503000+5+540000+5500000실험고찰이번 ... 아무래도 10번 핀쪽에서나 스위치쪽 입력을 잘못 연결한듯 하다.2) 집적회로 시프트 레지스터이 실험은 7496 5비트 shift-right 레지스터를 사용한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2011.12.21
  • 레지스터의 특성 예비보고서
    실험 목적▣ 레지스터의 기본 원리를 이해한다.▣ 시프트 레지스터(Shift register)를 이용한 카운터의 동작을 이해한다.2. 실험 이론Ⅰ. ... 예비보고서 레지스터(Register) 실험2009.11.31. ... Serial-In, Parallel-In, Serial-Out, Parallel-Out, Shift-Left, Shift-Right 등의 모든 기능을 동시에 갖추고 있는 쉬프트 레지스터
    리포트 | 2페이지 | 1,000원 | 등록일 2010.12.28
  • 6.시프트레지스터와 카운터[결과]
    Circulating Shift Register - Truth TableShift PulseL0L1L2L3L40LLDDD1DLLDD2DDLLD3DDDLL4LDDDL5LLDDD6DLLDD7DDLLD ... 기본동작Shift PulseL0L1L2L3L40LLDDD1DLLDD2DDLLD3DDDLL4DDDDL5DDDDD☞ 앞 전 실험이 J-K F/F을 이용하여 시프트 레지스터의 특성을 알아보는 ... 따라서 순환 시프트 레지스터로 동작한다고 할 수 있다.(3) 5진 링카운터Shift PulseL0L1L2L3L40LDDDD1DLDDD2DDLDD3DDDLD4DDDDL5LDDDD6DLDDD7DDLDD
    리포트 | 11페이지 | 1,000원 | 등록일 2011.07.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대