• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(519)
  • 리포트(468)
  • 시험자료(38)
  • 방송통신대(7)
  • 자기소개서(4)
  • 이력서(1)
  • ppt테마(1)

"Shift Registers" 검색결과 81-100 / 519건

  • 논리회로 실험(Shift Register & Ring Counter & Counter)시프트 레지스터 ,링카운터, 카운터 에관한 실험데이터 및 모든 내용을 첨부한 만점 결과 레포트
    Shift Register & Ring Counterⅰ. ... 집적회로 시프트 레지스터 : Circulating Shift Register - Truth Table그림 3에서 전선 AB를 제거하고 7496의 DS와 Q4에 연결한다. ... 집적회로 시프트 레지스터- 7496 5bit shift-right 레지스터를 사용하여 실험한다.?
    리포트 | 11페이지 | 5,000원 | 등록일 2008.02.28
  • [디지털 회로] <Pre-report>디지털 실험13장(Shift Register)
    이론(1) Shift Register레지스터는 일종의 데이터 기억소자이다. ... Shift Register와 Asynchronous Counter1. ... 쌍안정 소자의 출력(Q와 Q')이 다음 쌍안정의 J, K의 입력에 연결된다면 다음 단의 쌍안정 회로는 이동 명령(Shift pulse)에 의하여 전단의 쌍안정 회로가 가졌던 상태의
    리포트 | 6페이지 | 1,500원 | 등록일 2003.03.16
  • 디지털 시스템 설계 및 실습 병렬 직렬 변환회로 설계 verilog
    코드1) Shift_Register.vmodule Shift_Register(clk,load,rst,din,sin,sout,qout);input clk, load, rst;input ... 실습목적레지스터는 데이터를 저장하기 위해 사용되는 기억장치다. 레지스터의 종류는 다양하며, 시프트 레지스터는 클럭이 입력될 때 마다 저장된 데이터를 1비트씩 이동시킨다. ... 이 실습을 통해 시프트 레지스터의 동작과 이를 응용한 설계에 대해 알아본다.2.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.03.24
  • [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    우로 이동(Shift right)? 좌로 이동(Shift left)? 양방향 이동(bidirectional)(6) 플립플롭 수? ... 우 쉬프트 레지스터- 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터- 에서 register1 : 1011 저장, register2 : 1010이 저장되어 있다고 ... 가정했 을 때 클럭펄스 4개가 인가되면 register 2에는 register 1에 기억되었던 1011이 들어 오게 되고, register2에 기억되었던 정보 1010는 병렬출력으로서도
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 디지털회로실험 시프트 레지스터, 링카운터, 존슨카운터
    실험 목적실험1)시프트 레지스터(Shift Registers)의 구조와 동작특성을 이해하고 사용법을 익힌다.실험2,3)? ... (Shift Registers)시프트 레지스터는 2진식 정보를 좌 혹은 우로 shift 시킬 수 있는 레지스터이다.시프트 레지스터의 논리적 배치는 한 플립플롭의 출력이 다음 플립플롭의 ... 실험 과정실험 1) 시프트 레지스터(Shift Registers)-그림과 같이 회로를 결선한 후 CLK입력으로 함수발생기를 사용하여 0.2Hz의 주파수를 준다.- 2번 단자엔 5V를
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 서강대학교 디지털논리회로실험 레포트 8주차
    8주차 결과레포트Shift registers1. 실험 제목: shift registers2. ... 곱셈 예 그림 SEQ 그림 \* ARABIC 15. 4-bit 이진수의 곱셈 예_도식화Shift register를 이용한 곱셈기의 구현에 대해 생각해보자. ... 그리고 그림 13은 이 counter의 일반적인 상태들을 보여준다.3) Shift register를 이용한 곱셈기 설계그림 SEQ 그림 \* ARABIC 14. 4-bit 이진수의
    리포트 | 20페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서8
    Shift Register1) 실험목적1. 시프트 레지스터가 무엇인지 이해하고 종류를 파악한다.2. ... Register실험 과정: 준비한 결선도를 참고하여 6bit Shift Right Register를 74HC00과 74HC76을 이용하여 회로를 구성한다. ... Shift Register: 일련의 연결된 플립플롭으로 잠정적 데이터 저장 능력을 갖추도록 하여 클럭 펄스가 들어올 때마다 저장된 데이터가 좌우로 이동한다.?
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • 부산대 어드벤처디자인 실험10 A+ 예비보고서(플리플롭, K맵, 레이싱)
    Shift Register의 종류를 열거하고 그 용도를 설명하시오.- Serial-in to Parallel-out(SIPO)SIPO 시프트 레지스터는 단일의 데이터가 시프트 레지스터를 ... to Seril-out(SISO)SISO 시프트 레지스터는 입력 데이터를 그대로 저장하고 있다가 CLK에 따라 플립플롭을 거치면서 시간 delay를 거쳐 출력되는 회로입니다. ... 이러한 방식으로 클록이 발생할 때마다 순차적으로 데이터를 이동시키며, 클록이 발생하지 않는 동안은 데이터를 유지시켜주는 기능을 가진 회로를 시프트 레지스터라 합니다.- Serial-in
    리포트 | 2페이지 | 1,500원 | 등록일 2022.04.09
  • 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    Linear Feedback Shift Register (21)3. ... Linear Feedback Shift Register선형 피드백 시프트 레지스터의 실험 과정은 앞의 4비트 SIPO 실험 과정과 크게 다르지 않으므로, 앞의 과정에서 소스코드(.v ... Linear Feedback Shift Register (06)Ⅱ. 본론 (06)1. 실험 장비 (06)2.
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • VHDL을 통해 구현한 ShiftRegister 실습보고서
    실습으로는 Shift register을 설계하며, circular, logical, arithmetic shift를 모두 구현한다. ... 그 중 Shift동작을 하는 Register을 직접 설계하고, 실행해보았으며, 입력값들에 대해서 어떻게 출력이 바뀌는지에 대해서 관찰하였다. 입력값으로는 6가지가 주어졌다. ... Diagram에서 알 수 있듯이, CLK가 올라갈 때만, 데이터가 Shift됨을 알 수 있다.4)Shift4-1)circular shift(원 시프트)원 shift이다.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 7주차-실험17 결과 - 쉬프트 레지스터
    이때 SI=0, Shift=0으로 하고 누름 스위치로 클럭 펄스를 한 번 가한 후의 출력을 기록하라. ... 쉬프트 레지스터담당교수 : 교수님학 부 : 전자공학부학 번 :이 름 :실 험 조 :제 출 일 : 2015. 10. 14실험제목 : 쉬프트 레지스터실험(1) SN7474를 이용하여 의 ... 실험 (3)은 JK플립플롭을 이용하여 쉬프트레지스터를 구현한 것인데 이 쉬프트레지스터의 값이 D->C ->B->A 순으로 옮겨지는 것을 확인할 수 있습니다.(4) 의 회로를 구성하고
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.02
  • ROM&RAM 설계
    Register 설계-------------------------------------------p.4Shift RegisterSignal을 이용한 설계Variable를 이용한 설계새로운 ... —————————Signal 과 Variable-------------------------------------------p.3객체의 종류Signal 과 Variable의 차이점Shift
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.05
  • 부산대학교 어드벤쳐디자인 10장 예비보고서
    (4) Shift Register의 종류를 열거하고 그 용도를 설명하시오.시프트 레지스터는 직렬 입력, 병렬 출력(SIPO)고 병렬 입력, 직렬 출력(PISO) 형태를 포함하여 직렬 ... 시프트 레지스터의 방향을 다르게 할 수 있는 양방향 시프트 레지스터도 있다. 레지스터의 직렬 입력과 출력은 원형 시프트 레지스터를 만들기 위해 서로 연결할 수도 있다.1. ... SIPOSIPO 시프트 레지스터는 단일의 데이터가 시프트 레지스터를 거쳐 다수의 출력으로 나타나는 구조이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.11.13
  • 홍익대학교 디지털논리실험및설계 9주차 예비보고서 A+
    1.1 8-bit Serial-in Parallel-out Shift Register 74164의 datasheet를 확인하고 (MR)’의 역할에 대하여 설명하시오. ... 왜 입력이 A와 B로 나누어져 있는지 설명하시오.우선 8-bit Serial-in Parallel-out Shift Register 74164에서 Vcc와 GND를 몇 번 pin에
    리포트 | 6페이지 | 1,500원 | 등록일 2023.03.21 | 수정일 2023.04.03
  • 고려대학교 디지털시스템실험 A+ 6주차 결과보고서
    후에 counter나 register에 reset 기능을 넣은 코드 를 통해 FPGA를 구현할 수 있으면 좋겠다는 생각을 하게 되었다. ... 본 실험을 통하여 gate level modeling을 이용한 latch와 flip flop의 설계 및 behavioral modeling을 이용한 counter와 shift register의
    리포트 | 4페이지 | 2,000원 | 등록일 2023.06.21
  • 논리회로설계실험 8주차 register 설계
    이러한 과정이 반복되고, 가장 오른쪽 1-bit register의 input은 SHIFT_IN임을 확인할 수 있다. ... 1’b1일 때 SHIFT_IN값을 1로 8번 입력하였다. ... 하지만 이와 같이 Reset input이 1인 경우에는 SHIFT되지 않을 것이다.
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서8
    레지스터(Register)1) 누산기(Accumulator) : 산술과 논리연산의 중간 값을 임시적으로 보관하기 위한 레지스터.2) 저장 레지스터(Storage Register) : ... (Status Register) : 산술과 논리 연산의 결과로 나오는 캐리, 부호, 오버플로우 등의 상태를 기억하는 레지스터.5) 인덱스 레지스터(Index Register) : 명령 ... 이번 실험은 총 3개의 Shift Register를 만들었는데 실험 1, 2는 CLK이 들어올 때마다 한 칸씩 이동시키는 평범한 비순환 Shift Register이고, 실험 3은 순환
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • [예비보고서] 3.스텝 모터 구동기
    좌측으로 Shift4HHLQAQBQC레지스터 우측으로 Shift5HHHABCDParallel load 입력이 출력됨범용 이동 레지스터 74HC194에서 동작을 제어하는 입력은 S0와 ... No3.의 경우 레지스터에 포함된 내용을 모두 좌측으로 Shift하게 되며, No4.의 경우 모두 우측으로 Shift하게 되며, No5.의 경우 Parallel load로 들어간 네 ... (답안)이하는 범용 이동 레지스터의 동작을 예상한 도표이다.NOCLRS0S1QAQBQCQD동작에 대해 설명하시오.1LXXLLLL출력이 초기화되었음2HLLQAQBQCQD출력이 변화 없음3HLHQBQCQD레지스터
    리포트 | 6페이지 | 1,000원 | 등록일 2023.01.03
  • 서강대학교 디지털논리회로실험 8주차 결과보고서
    실험목적1) Shift RegistersShift registers의 구조와 동작원리를 이해한다.Shift register를 활용하여 multiplier를 구성한다.2. ... Shift register를 구성하는 register의 수만큼의 clock이 지나면 SEROUT을 통해 나오게 된다. ... 배경이론 및 실험방법Shift register란 개별적인 flip flop들의 연결에 의해 구성되는 shift register는 clock의 한 주기가 지날 때마다 연결되어 있는 register들의
    리포트 | 14페이지 | 1,000원 | 등록일 2021.10.02
  • 디지털시스템설계실습_HW_WEEK8
    .• Discussion이번 과제는 16x8bit Register file, Shift Register, Shift Register with Parallel Load를 구현해보는 시간이었다 ... .16x8bit Register file 은 4bit의 address, 8bit의 data크기, 16개의 저장공간을 갖고 있는 구조였고, 이는 wr_enable이 활성화 되었을 때,
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.11
  • AI글쓰기 서비스 오픈
  • 파트너스 등급업 이벤트
AI 챗봇
2024년 08월 18일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:10 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기