• 통큰쿠폰이벤트-통합
  • 통합검색(518)
  • 리포트(468)
  • 시험자료(37)
  • 방송통신대(7)
  • 자기소개서(4)
  • 이력서(1)
  • ppt테마(1)

"Shift Registers" 검색결과 341-360 / 518건

  • 모델심을 이용한 쉬프트 레지스터입니다
    모델심을 이용한 쉬프트레지스터 입니다
    리포트 | 1,000원 | 등록일 2008.09.16
  • Interrupt & Timer
    Special Purpose General Register유저가 프로그램 할 때 레지스터 지정을 위해 사용할 수 있는 키워드는 r0 ~ r15까지이다. ... ARM Status Register 6개 - but 1개의 Status RegisterARM에는 32비트의 스테이터스 레지스터가 6개가 있다. ... 표기되는 이 플래그는 연산의 결과가 0이 되었을 경우에 Set3) Carry/Borrow/Extend FlagC 로 표기되는 이 플래그는 자리올림이나 내림이 발생한 경우 Set 그리고 Shift
    리포트 | 38페이지 | 3,000원 | 등록일 2010.10.17
  • [암호학프로그램]SHA를 구현한 소스파일입니다.
    매크로 함수 */#define BTOW(a,b,c,d) ( ((a < 24) + (b < 16) + (c < 8) +d) ) // byte에서 word로 변환#define CIR_SHIFT ... UINT c, UINT d, UINT e); // word단위의 데이터를 byte단위로 변환/* 전역 변수 */static UINT init_reg[5] = {0,}; //초기 레지스터static
    리포트 | 10,000원 | 등록일 2011.06.15 | 수정일 2017.07.04
  • LVDS (Low-Voltage Differential Signaling)
    수신기는 이와 같은 마진 이내에서 효율적으로 동위상 노이즈와 접지 편이(Ground Shift)를 제거하게 된다.또 다른 중요한 파라미터는 수신기의 문턱 전압 (Threshold Voltage ... 드라이버 내 전류의 경로가 변경되면 수신기에서 터미네이션 레지스터를 통해 흐르는 전류의 방향 또한 바뀌게 되는데, 레지스터를 통한 전류의 방향이 전압 차의 + /- 여부를 결정한다. ... 터미네이션 레지스터는 또한 시스템의 보완 신호 사이에 경로를 제공한다.
    리포트 | 16페이지 | 2,000원 | 등록일 2011.10.25
  • 논리회로 실험 시프트레지스터와 카운터
    시프트레지스터와 카운터 (Shift Register & Counter)Ⅰ. 목 적시프트 레지스터와 링 카운터의 동작 원리와 특성을 이해한다. ... SERIAL IN / PARALLEL OUT2.Circulating Shift Register3. 5진 링카운터4. ... 이 론1) 시프트레지스터(shift register) & 링카운터(ring counter)레지스터는 일종의 데이타 기억 소자이다.
    리포트 | 17페이지 | 1,500원 | 등록일 2010.03.20
  • PC caculator
    □ MUXlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declar..
    리포트 | 15페이지 | 2,000원 | 등록일 2008.06.01 | 수정일 2019.04.12
  • 실험3예비[1].가산기와감산기
    Serial Adder Block Diagram회로로 직접 제작하게 되면 아래와 같은 회로를 얻게 된다. 4bit이기 때문에 4bit Shift Register인 74HC194 2개와 ... Serial로 입력을 입력을 받은 후 왼쪽이나 오른쪽으로 Shift 시키면서 직렬로 신호를 입력하는 방법을 사용하였다.회로 SEQ 회로 \* ARABIC 9. 4bit Serial ... 하지만 회로 구성이 단순하며 좁은 면적을 차지하는 장점을 갖고 있다.값을 순차적으로 전가산기에 입력시켜야 하기 때문에 값을 저장하는 역할을 수행하는 Register 소자가 필요하며
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.27
  • Evaluator-7T를 이용한 7-seg와 LED 제어
    Special Purpose General Register유저가 프로그램 할 때 레지스터 지정을 위해 사용할 수 있는 키워드는 r0 ~ r15까지이다. ... ARM Status Register 6개 - but 1개의 Status RegisterARM에는 32비트의 스테이터스 레지스터가 6개가 있다. ... 표기되는 이 플래그는 연산의 결과가 0이 되었을 경우에 Set3) Carry/Borrow/Extend FlagC 로 표기되는 이 플래그는 자리올림이나 내림이 발생한 경우 Set 그리고 Shift
    리포트 | 35페이지 | 3,000원 | 등록일 2010.10.17
  • 부스 알고리즘
    곱해질 두 이진수를 Multiplicand M, Multiplier Q라고 두고, 임시적인 레지스터 A와, Count를 가정한다. ... 값을 왼쪽으로 N회 Shift시키면 값의 크기는배 증가함을 이해한 후, 간단한 Unsigned Integer Multiplication 명령을 만들어볼 수 있습니다.1. ... Q를 한 비트 씩 꺼내면서 계산을 하는데,부터까지 순서대로꺼내옵니다.2.1 현재 Q의 i번째 비트를 계산중이라고 할 때,2.2와 M을 And연산한 후 I번 Shift시킨 값을 A에
    리포트 | 7페이지 | 1,000원 | 등록일 2010.10.14
  • 논리설계실험 chap 04 shift register
    IntroductionFlip-Flop의 동작을 이해한다.- 쿨럭의 변화에 따라 출력이 변함을 확인한다.Flip-Flop을 바탕으로 하여 Mode에 따른 Circular, Logical, Arithmetic shift를 설계한다.비동기 Reset 및 동기 Enable에..
    리포트 | 10페이지 | 1,000원 | 등록일 2008.10.26
  • [암호학프로그램]MD5를 구현한 소스파일입니다.
    매크로 함수 */#define BTOW(a,b,c,d) ( ((a < 24) + (b < 16) + (c < 8) + d) ) // byte를 word로 변환#define CIR_SHIFT ... UINT b, UINT c, UINT d); //word 단위의 해쉬 값을 byte 단위로 변환하는 함수/* 전역 변수 */static UINT init_reg[4]; // 초기 레지스터static
    리포트 | 10,000원 | 등록일 2011.06.15 | 수정일 2017.07.04
  • ARM Assembly 실습2
    Source codeB. discussion이번에서 사용되는 LSR(Logical Shift Right)는 논리 shift를 수행하는 명령어이다. unsigned x >> y로 나타낼 ... Source codeB. dis레지스터 110의 or 연산 111이 r2 레지스터에 저장되게 된다.A. ... Source codeB. discussion명령어 AND는 위 7-1번에서도 사용했던 AND 연산을 하는 명령어이다. r1 레지스터와 r3 레지스터 값을 더해서 r2 레지스터에 넣는다
    리포트 | 44페이지 | 3,000원 | 등록일 2010.10.17
  • ARM Assembly 실습
    표기되는 이 플래그는 연산의 결과가 0이 되었을 경우에 Set3) Carry/Borrow/Extend FlagC 로 표기되는 이 플래그는 자리올림이나 내림이 발생한 경우 Set 그리고 Shift ... 이런 경우엔, 수동으로 sp(r13)를 이용하여 스택에 r14 값을 보관해 두어야 한다.urrent Processor Status Register로도 부른다.PSR은 크게 Flag ... ARM의 데이터 전송명령은 Auto Increment 기능이 있어서 하나의 인스트럭션으로 Push나 Pop과 동일한 기능을 수행 할 수 있다.- Link Register (r14)r14는
    리포트 | 72페이지 | 3,000원 | 등록일 2010.10.17
  • Digital Logic Design (Verilog)
    Verilog를 이용하여 간단한 CPU를 구현한 소스입니다.ALU, Register, Instruction Module, PC, Shifter, Jump 등이 구현되어 있습니다.간단히
    리포트 | 5,000원 | 등록일 2009.05.04
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 5-예비,결과 보고서
    shift register의 동작 모드는 2개이며, 이를 제어하는 입력은 Load Shift이다. ... Load Shift = 1이면 parallel load 입력이 shift register로 load된다. ... Load Shift = 0이면 clock에 동기화되어 shift left동작을 수행한다.
    리포트 | 13페이지 | 1,000원 | 등록일 2009.01.25
  • 실험6. 레지스터 구성
    그 종류를 데이터 처리 방식에 따라 구별하면 병렬 전송 레지스터와 직렬 전송 레지스터로 구분할 수 있다.○ 직렬 전송 레지스터직렬 전송 레지스터(Serial Shift Register ... 저장하는 경우 병렬로 로드(parallel load)한다고 말한다.병렬 전송 레지스터(Parallel Shift Register)는 레지스터의 모든 비트를 하나의 클록 펄스에 의해 ... 출력 Ai의 값은 저장된 Qi 값과 같다.○ 자리이동 레지스터자리이동 레지스터(Shift Register)란 각 플립플롭의 출력이 옆에 있는 플립플롭의 입력에 차례로 연결되어 있어서
    리포트 | 4페이지 | 1,500원 | 등록일 2008.05.25
  • 논리회로실험- 시프트레지스터 와 카운터 결과보고서
    물론 약간의 시행착오는 있었지만 비교적 빠른 시간내에 실험을 마칠 수 있었던 실험이었다.앞서 꾸민 SHIFT REGISTER와 달리 집적회로화된 SHIFT REGISTER 7496의 ... Circulating Shift Register - Truth Table그림 3의 회로에서 SER(9번핀)과 QE(10번핀)을 연결하여 그림 4의 회로를 구성하라.1) 시프트 레지스터를 ... 나머지 동작은 앞의 SHIFT REGISTER와 마찬가지로 매 클럭의 하강 펄스마다 저장되어있는 데이터가 우측으로 이동한다.실험과정 중심에서 살펴보면, CLR을 접지시켰다가 +5V에
    리포트 | 23페이지 | 1,000원 | 등록일 2008.02.25
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 6-예비,결과 보고서
    즉 S0=0, S1=1이면 위쪽의 레지스터는 오른쪽으로 shift 될 것이고 아래쪽의 레지스터는 왼쪽으로 shift될 것이다.처음에 S0=S1=1 이기 때문에 현재 입력 값이 두 register ... 그리고 SL이 1이 되어 있는 부분이 있는데 S0와 S1이 1이기 때문에 별로 문제 되지는 않는다. 0으로 해도 결과는 같다.Shift register와 D F/F를 이용한 8 bit ... 이렇게 해서 한 번의 계산 결과를 저장하고 반복해서 full adder에서 더해주면 곱셈기가 설계 될 것이다.Shift register와 D F/F를 이용한 8 bit 곱셈기 schematic위에서
    리포트 | 13페이지 | 1,000원 | 등록일 2009.01.25
  • 쉬프트 레지스터 예비
    실험제목Shift Register2. ... 직렬 입력-병렬 출력 시프트 레지스터직렬 입력-병렬 출력 시프트 레지스터는 D형 플립플롭을 사용하여 각 레지스터로부터 출력을 끌어내는 점을 제외하고는 직렬 입력-직렬출력 레지스터의 ... 병렬 입력-병렬출력 시프트 레지스터(2)링 카운터링 카운터는 시프트 레지스터를 응용한 가장 간단한 카운터로서 직렬 입력, 병렬 출력 시프트 레지스터의 최종 출력을 다시 이력에 귀환시킨
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.08
  • 실험3결과[1].가산기와감산기
    Shift 방향은 출력핀 설정에 따라 다르겠지만, 위 회로에서는 Shift Right 방법을 사용하고 12,14pin을 출력으로 하였다.- Register의 출력은 Full adder ... 계산 결과의 Sum은 마지막 bit부터 Regiter 74HC164에 전달되고, CLK마다 Shift되어 최종 계산 결과를 가져온다.- 실험을 실제로 해본 결과 CLK에 매우 낮은 ... 주파수의 사각파를 넣어야 출력을 눈으로 관찰할 수 있었고, Shift 횟수를 보다 잘 관찰하기 위해서는 임의의 스위치를 사용해서 Edge Trigger를 발생시키는 방식을 사용해야
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대