• 통큰쿠폰이벤트-통합
  • 통합검색(518)
  • 리포트(468)
  • 시험자료(37)
  • 방송통신대(7)
  • 자기소개서(4)
  • 이력서(1)
  • ppt테마(1)

"Shift Registers" 검색결과 381-400 / 518건

  • 래치,플립플롭,시프트레지스터
    실험목적래치와 플립플롭, 그리고 시프트 레지스터의 동작 원리를 이해한다. 그리고 기본 논리 소자를 이용하여 실험을 하고 동작을 확인한다.1. 실험이론.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.18 | 수정일 2014.12.22
  • 카운터 및 쉬프트레지스터 응용설계 예비제안서
    역할 분담 및 제작 일정목 차설계 목적count 및 shift register 및 게이트를 이용한 제작 푸시 스위치를 이용하여 1회 누름 시 10초간 동작 동작중에도 버튼 누르면 시간
    리포트 | 11페이지 | 2,000원 | 등록일 2010.05.26
  • 연세대 전기전자 기초실험 10. 플립플롭과 카운터 설계 실험 (결과보고서)
    진리표는 다음과 같다.S1S2Mode00Hold01Shift Right10Shift Left11Now Allowed동기식 십진 카운터는 실제 생활에서 쓰는 십진법을 표현한 카운터이고 ... ① 실험을 통해 작성한 테이블과 파형을 참고하여 JK Master / Slave 플립플롭, 4비트 양방향 쉬프트 레지스터, 동기식 십진 카운터, 4비트 Up / down preset ... 클럭이 다시 0에서 1이 될 때까지 Master 단계의 S-R 입력으로 들어가지 못하므로 계속해서 Toggle 하는 문제점이 생기지 않는 것을 알 수 있다. 4비트 양방향 쉬프트 레지스터
    리포트 | 5페이지 | 1,000원 | 등록일 2007.12.30
  • [논리회로실험] 가산기와 감산기 (예비)
    (2) 74HC1648-Bit Serial-in/Parallel-out Shift Register3) 실험방법4의 7486과 7400을 이용한 반감산기 회로도반감산기 부울함수D=X ... 저장되고 Carry를 플립플롭에 일시 저장.▶ 다음 비트의 가산에 Carry 입력으로 들어간다.▶ 여러개의 입력을 가산할 필요가 있을 때는 Accumulator를 시프트 레지스터로 ... 위해 look-ahead Carry 가산기가 있다.(2) 직렬 가산기 serial adder▶ 직렬가산기는 전가산기 하나만을 이용하여 N비트의 가산을 할수 있는 가산기▶ 시프트 레지스터
    리포트 | 11페이지 | 1,500원 | 등록일 2009.03.20
  • 제 10장 (결과) 플립플롭과 카운터 설계 실험
    4비트 양방향 쉬프트 레지스터S1S2Mode00Hold01Shift Right10Shift Left11Now Allowed- left shift 확인- right shift 확인 ... 연결되게 되고, slave 플립플롭은 negative edge clock으로 동작하여 clock이 1에서 0으로 변화하는 부분에서 출력을 발생하게 한다.- 4비트 양방향 쉬프트 레지스터시프트레지스터는 ... 또한 클락의 입력을 0으로 들어가게 하면 쉬프트 레지스터의 값을 그대로 유지 할 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2007.11.03
  • 컴퓨터구조 주소지정방정식 프레젠테이션
    예) SHL : 누산기의 내용을 좌측으로 Shift하는 명령어주소지정 방식 Addressing Mode레지스터 주소지정 방식 연산에 사용할 데이터가 레지스터에 저장되어 있으며 레지스터를 ... 특수 레지스터. ... 단점 - 주소 공간의 제한이 있다.주소지정 방식 Addressing Mode레지스터 간접 주소지정 방식 오퍼랜드 필드가 레지스터의 번호(레지스터의 내용이 가리키는 메모리가 유효 주소
    리포트 | 12페이지 | 1,000원 | 등록일 2007.12.13
  • 디지털회로실험 > 결과보고서 ch13. 시프트 레지스터
    시프트 레지스터 (Shift Registers)1. ... 실험 결과(1) 그림 13-17의 6비트 우측시프트 레지스터의 실험회로를 결선하고 다음순서에 따라 실험하여라. 6비트 우측 시프트 레지스터1) Reset 단자를 순간적으로 접지시켰다 ... 레지스터 내용이 클리어되었는지 확인한 후 로직 스위치를 세트시켜 레지스터의 직렬 입력데이터를 로직 1로 한 후 로직펄서를 인가시켜 표13-3을 완성시켜라.2) LED 모니터 출력 A
    리포트 | 3페이지 | 1,000원 | 등록일 2007.11.12
  • [LCD실험]LCD panel 광학현미경 관찰 및 투과도 측정
    AUO는 3.5인치 패널이므로 이를 PPI로 환산해보면 다음과 같다.LGD개구율 = 62.35%Shift Register에 관한 내용은 토의 및 분석에 서술하였다.TFT 부분을 고
    리포트 | 10페이지 | 6,000원 | 등록일 2012.07.11
  • Counter 응용 회로 설계
    역할 분담 및 제작 일정목 차설계 목적count 및 shift register 및 게이트를 이용한 제작 푸시 스위치를 이용하여 1회 누름 시 10초간 동작 동작중에도 버튼 누르면 시간
    리포트 | 16페이지 | 4,000원 | 등록일 2010.05.26
  • 시프트 레지스터
    Register)- TTL74194(만능 Shift Register)4. ... 출력 Ai의 값은 저장된 Qi 값과 같다.- 시프트레지스터 (Shift Register)2진 정보를 왼쪽이나 오른쪽으로 시프팅 할 수 있는 기능을 가진 레지스터를 시프트 레지스터라 ... 이론- 레지스터레지스터(register)는 데이터를 저장하기 위해 플립플롭들을 여러 개 모아놓은 회로를 말한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.11
  • 하드웨어 부품
    로트 레지스터■ 2조 컴퓨터 구성 프로젝트 발표보고서2.5 시프트 레지스터Mod e controlS1S0Register operation00No change01Shift rigth ... (down)10Shift left(up)11Parallel load[ 표 2-4 ] 그림 2-9의 레지스터에 대한 함수표Serial inputSerial inputClockI3I2I1I0S1S0A0A1A2A3 ... 마음껏 해~;;레지스터란?
    리포트 | 29페이지 | 1,000원 | 등록일 2007.12.19
  • [공학]JTAG개요
    즉 현재 상태를 쉬프트 할 수 있게 준비하는 기능입니다 .Shift DRInstruction Register에 의해 선택된 Boundanstruction Register에 의해 선택된 ... 쉬프트 레지스터쪽으로 적용되게 합니다. ... 의해 선택된 Boundary-Scan Cell의 PO단자 값에 내부 쉬프트 레지스터쪽의 내용을 적용시킵니다.Select IR-ScanInstruction Register에 제어를
    리포트 | 8페이지 | 1,500원 | 등록일 2006.06.05
  • 디지털회로 [ 7-세그먼트디코더, 쉬프트 레지스터,업-다운카운터, 각종 카운터 _ 사전 ]
    쉬프트 레지스터 Verilog HDL 코드module Shift_reg (Clk, In_signal, Out_sr);input Clk, In_signal;output [3:0] Out_sr ... 쉬프트 레지스터? 2진 정보를 왼쪽으로 또는 오른쪽으로 이동 시킬 수 있는 레지스터를 쉬프트 레지스터라 한다. ... 8-9. 7-세그먼트디코더, 쉬프트 레지스터업-다운카운터, 각종 카운터제출일실험조이름-사전 보고서-?
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.09
  • [이동통신]PN코드 예제3가지_탭의위치변화에따란 PN코드
    Shift-register implementationStateB3B2B1B0B0? ... Shift-register implementationStateB3B2B1B0B0? ... Shift-register implementationStateB3B2B1B0(B0?B1)?
    리포트 | 3페이지 | 1,000원 | 등록일 2006.04.04
  • 연세대 전기전자 기초실험 09년도 A+ 레포트 예비 10
    ① Use verilog HDL code to express Master/Slave J-K Flip-flopmodule MASTER_SLAVE_JK ( J, K, CLK, Q, Q_BAR ); input J, K, CLK; output Q, Q_BAR..
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.17
  • 쉬프트레지스터
    우로 이동(Shift right)2. 좌로 이동(Shift left)3. ... 수 있는 플립플롭을 레지스터(register)라 한다. ... 양방향 이동(Bidirectional)또한 쉬프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4bit shift register, 8개이면 8 bit shift register라
    리포트 | 10페이지 | 1,000원 | 등록일 2006.11.09
  • 8086, MC68000, z-80 각 CPU사양
    -BX (BH,BL)Base Register : BX는 주소지정을 확장하기 위해 인덱스(INDEX)로서 사용될 수 있는 유일한 범용 레지스터이기 때문에 베이스 레지스터로 알려져 있다 ... 받으나 연산자의 크기가 워드인 경우는 SR 전체가 영향을 받으며 이 명령은 특권명령이 된다.NOT [.B, .W, .L]목적 연산자에 대한 1의 보수를 취하여 목적 연산자에 저장SHIFT ... 및 ROTATE 명령Shift 명령ASL, ASR Dx, Dy [.B, .W, .L]규정된 방향(L은 왼쪽 방향, R은 오른쪽 방향)으로 목적 연산자의 비트를 산술 쉬프트(Arithnmetic
    리포트 | 32페이지 | 3,000원 | 등록일 2007.06.07
  • 중앙처리 장치의 분류 - CISC와 RISC의 차이
    Register mode (S2 specifies a register)2. Register-immediate mode (S2 specifies an operand)3. ... PC relative mode- 버클리 RISC I 명령어 형식Shift-right arithmeticRd←Rs shifted by S2Rs,S2,RdSRAShift-right logicalRd ... 그 이외의 모든 데이터 이동 동작들은 내부 레지스터들 사이에서 만 일어나도록 한다 ☞ 계층 구조의 기억장치를 사용한다RISC IRISC 구조의 장점을 보이기 위해 버클리대학에서 최초로
    리포트 | 15페이지 | 3,000원 | 등록일 2008.08.22
  • 전자전기 공학부 졸업 작품 자료
    Register 와 Tr-State Buffer기능으로 클락에 따른 데이터를 제어하고 Decoder역할을 하는 74HC138칩과 연동하여 전체 LED를 제어하게 된다.3. ... 일정시간 정지된 모양을 나타내는 것이 아니라, 일반 상점의 LED 간판과같이 일정 사이클내에서 움직이는 모양을 표현할 수 있도록 하였다.이 동작을 가능하도록 한 74HC595는 Shift ... 입출력 포트 ( I/O Prots )① 출력될 데이터를 저장하는 포트의 데이터 레지스터 : PORTxex) PORTA=0xff : 출력이 모두 5VPORTA=0x00 : 출력이 모두
    리포트 | 10페이지 | 4,000원 | 등록일 2009.01.27
  • AVR을 이용한 다양한 기기설계
    50 th Byte LSB' ||||| +-----(100) 50 th Byte Temp = Waitkey()If Temp > "9" ThenTemp = Temp - 7End If'Shift ... = 0xF7; // PORTD = inputPORTD = 0x00;void Delay_us(unsigned char time_us) /* time delay for us */T register ... 내부는 산술논리연산기, 레지스터, 프로그램 카운터, 명령 디코더, 제어회로 등으로 구성되어 있다. 마이크로프로세서는 주기억장치에 저장되어.
    리포트 | 38페이지 | 2,500원 | 등록일 2010.03.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대