• 통큰쿠폰이벤트-통합
  • 통합검색(318)
  • 자기소개서(160)
  • 리포트(157)
  • 이력서(1)

"fpga 프로젝트" 검색결과 281-300 / 318건

  • Galileo Navigation Satellite System
    대다수 EU 회원국은 갈릴레오 프로젝트를 지지하였는데, 자크 시라크 프랑스 대통령은 “유럽이 갈릴레오 프로젝트를 포기하면 미국의 신 하국 처지로 전락할 것” 이라고 비판하였다. ... FPGA는 코드 없이 계산을 수행하기위해 하나의 비트 n)이 된다. 는 1번 C/A 코드를 5.714MHz로 샘플링 한 경우의 스펙트럼을 나타낸다. ... 신호 처리 구조신호처리는 FPGA 형태로 구현할 경우 항법신호대역폭은 감소하므로 중점적으로 처리되어야 할 부분은 샘플링율의 감소, 반송파 스트립, 전형적으로 코드 리플리카 (Replica
    리포트 | 53페이지 | 5,000원 | 등록일 2009.09.15
  • 현대HDS 합격 자소서
    이를 계기로 저는 시스템 분야에 큰 관심을 가지게 되었고 이 분야에서의 경험을 큰 힘으로 여기고 있습니다.또한 OOAD 기반 소프트웨어 설계, 컴파일러 작성, VHDL을 통한 FPGA ... 특히 이전부터 자신 있던 웹 분야 지식은 네트워크 및 웹 프로젝트 진행에 큰 도움이 됐습니다. ... 회로 제어, 네트워크 및 웹 프로그래밍 등 주요 프로젝트에 참가하며 넓은 지식을 쌓았습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2013.07.20 | 수정일 2015.02.14
  • VHDL 을 이용한 효율적인 짐찾기 프로그램 설계 프로젝트
    실제적인 구현은 이 출력을 FPGA에 연결해서 보여야 하는데 그런 과정은 생략하기로 한다.
    리포트 | 8페이지 | 5,000원 | 등록일 2007.06.25
  • 두산 인프라코어 합격 자기소개서-인턴-r&d
    재학 중 유능한 엔지니어가 되겠다는 의지와 목표를 갖고 학업에 임하여 전공지식을 쌓았고, 다양한 프로젝트 수행으로 엔지니어로서의 소양을 길러 왔습니다. ... 대신 복잡한 로봇은 아니지만 MCU나 FPGA칩을 이용해 직접 제어를 하여 작게나마 직접 동작시킬 수 있는 마이크로프로세서나 제어 시스템에 흥미를 갖게 되었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2012.10.18
  • Nand 연산을 이용한 Xor 게이트 구현과 간단한 Adder 구현
    New Project를 만들어, 디렉토리 경로와 프로젝트 이름을 설정한다.3. ... FPGA와 보드의 핀 연결을 하고 FPGA에 올려서 테스트해본다.□ Nand Gate를 이용한 Xor Gate 설계▶ 회로 분석- 이 회로는 xor 게이트를 논리 게이트를 이용해서 ... 우리가 사용할 HBE-Combo 보드에 FPGA 칩인 Altera 사의 ACEX 중에 1K로, Device Family설정에서 설정 해준다.4.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.07
  • 13년도 하반기 삼성그룹 신입사원 채용 서류 합격 자소서
    물론, 배경지식부터 FPGA칩의 역할과 software와의 적용까지 모든 것을 영어로 이해하고 혼자서 공부하려니, 보통 일이 아니었습니다. ... 한 달여간, 선택적으로 프로젝트를 하는 프로그램이 있었고, 저는 타국에서 외국어만을 사용하여 전공 프로젝트를 경험해 볼 수 있는 좋은 기회라고 생각되었습니다. ... 프로젝트를 수행하는 데에 있어 항상 세계시장 흐름을 염두해 두며, 삼성전자가 그 흐름을 주도하도록 노력할 것입니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2014.06.08
  • vhdl 기본게이트 설계
    내용을 몰랐는데 synthesize는 문법적으로 맞는지를 체크하는 명령이고 implementation은 synthesize 된 출력을 특정 FPGA의 구조에 맞추어 실제로 물리적 ... 시험내용 및 결과2.1 Xilinx 사용 법 숙지 및 실습- 실험 방법 및 내용 설명 -Xilinx ise를 실행하고 새로운 프로젝트를 생성하여 소스 코드를 작성하는 과정을 PPT를 ... 프로젝트 파일에는 다양한 내용들이 포함되는데 그 예로 source type, Clip family, 시뮬레이터 종류 및 언어 설정 등이 있습니다.synthesize와 implementation명령의
    리포트 | 6페이지 | 1,000원 | 등록일 2009.04.25
  • 반도체 산업의 특징
    세제상의 우대정책 그리고 국가 중점 프로젝트로서의 지원, 정부주도의 기술도입 등 정부주도형 산업육성 정책에 크게 기인하고 있다. ... 특정의 한정된 응용분야나 기기에 사용할 목적으로 개발된 IC로서 일반적으로는 Gate Array, Standard Cell, PLD(Programmable Logic Device), FPGA ... 기술도입 및 프로젝트 기획 등의 과정에서 기업의 주도적 역할인 제품 및 기술개발전략 등에 대해서도 전자 공업부가 기업을 대신하였기 때문에 기업의 인센티브를 제대로 이끌어내지 못했다.둘째
    리포트 | 5페이지 | 1,000원 | 등록일 2011.04.16
  • VHDL를 이용한 FSM 설계 및 키트 사용법
    .- 프로젝트 생성 시Product category = AllFamily = Spartan3Device = XC3S200Package = PQ208Speed = -4 값과 일치하게끔 ... Constraints >> Assign Package PinsPin table을 찾아 Pin 값을 입력해 준다.- Generate Programming File>> Startup Option>> FPGA
    리포트 | 13페이지 | 1,000원 | 등록일 2010.05.27
  • 현대자동차 합격 자기소개서 (2008년 하반기)
    자기소개(성격, 생활신조, 취미, 특기, 학교생활) - 10라인 500자 이내"항상 믿음이 가는 인재"교내 외에서 프로젝트 진행시의 리더로써, 현재 소속되어 있는 실험실의 실장으로써 ... 학부 3학년 때, 마이크로 프로세서 텀 프로젝트로 ESP 시스템을 개발을 시도했을 때부터, 자동차의 전자 제어를 비롯한 전장 설계에 많은 관심을 가지게 되었습니다. ... 군 제대 후, 전기철도 아르바이트부터 시작해서 학과 텀 프로젝트, 삼성소프트웨어 멤버십에서의 경험, 실무라는 것을 파악하기 위한 1년 남짓의 직장 경험과 멘사 회원 활동 등은 제 자신을
    자기소개서 | 2페이지 | 3,000원 | 등록일 2009.07.19
  • LS산전 합격 자기소개서 (2008년 하반기)
    제어 기자재를 개발하는 것을 목표로 합니다.저는 이 프로젝트에서 신경회로망 연산이 가능하도록 하는 신경망 칩을 VHDL로 설계하여 FPGA에 구현하는 일을 하였으며, Altera의 ... 저는 해당 프로젝트프로젝트 리더로서 팀 구성원들에게 반목과 갈등은 팀의 우선순위인 프로젝트의 마무리에 전혀 도움이 되지 않음을 숙지시키고, 함께 힘들 모아 잘 되는 것이 결국 모두에게 ... FPGA 기반에서 연산량이 많은 신경회로망을 구현하기 위해 프로세서 구조의 설계를 채택했으며, 이를 지원하는 명령어 셋을 설계하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2009.07.19
  • 기아자동차 합격 자기소개서 (2008년 하반기)
    - 10라인 500자 이내================================================================"항상 믿음이 가는 인재"교내 외에서 프로젝트 ... 군 제대 후, 전기철도 아르바이트부터 시작해서 학과 텀 프로젝트, 삼성소프트웨어 멤버십에서의 경험, 실무라는 것을 파악하기 위한 1년 남짓의 직장 경험과 멘사 회원 활동 등은 제 자신을 ... FPGA 기반에서 연산량이 많은 신경회로망을 구현하기 위해 프로세서 구조의 설계를 채택했으며, 이를 지원하는 명령어 셋을 설계하였습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2009.07.19
  • Excess 3 to BCD code converter ( Excess-3-to-BCD code converter )
    Excess-3 code를 BCD로 변환하는 조합회로를 설계하는 실습으로, schematic diagram 을 그려서 또 하드웨어를 HDL 로 기술하여 simulation 으로 검증하고 FPGA에 ... 출력이 나오게 했다.Flow Status : 성공적으로 완료됬음을 알려준다.( 완성시간 포함)Quartus 2 Version : 쿼터스의 버전을 나타냄Revlsion Name : 프로젝트
    리포트 | 16페이지 | 3,500원 | 등록일 2011.04.22
  • verilog 베릴로그 booth multiplier와 CLA로 구현한 자판기 (보고서,발표자료 포함)DE2-70보드 다운가능 v file 포함
    프로젝트 소개 (1) 프로젝트 목표 수업시간을 통해 배운 카운터, 가산기, 감산기, Multiplier,와 Sequential 로직을 통합적으로 이용하여 verilog
    리포트 | 21페이지 | 4,000원 | 등록일 2008.12.29
  • 램프핑퐁머쉰 설계
    : out STD_LOGIC_vector(7 downto 0));end ;--- 핑퐁게임을 구현하기 위한 entity를 작성한다. entity 입력 port로는 reset을 위해 FPGA ... 이 프로젝트는 과제들에 대해서 display부분과 클럭분주, 그리고 FSM을 사용 그리고 쉬프트를 이용해야한다. ... 이 프로젝트는 RESET을 이용해서 초기화가 가능하고 START 버튼을 누르면 LED 8개중에서 서브권이 있는 사람 쪽에 켜진다.
    리포트 | 16페이지 | 2,000원 | 등록일 2009.01.09
  • 2006 한국 전자전(Korea Electronics Show) 킨텍스 견학문
    우리가 만든 작품은 “Xilinx FPGA를 사용한 TFT LCD 제어보드 설계” 라는 제목으로 우리의 팀이름은 FPGA World 로 정했다. ... 그런 나의 자세때문인지 몰라도 운이 좋게 전자과 4학년 유석이형과 산업체 연계 프로젝트로 일산에 있는 킨텍스 국제 전시회장에 작품을 출시하게 되었다. ... 유석이형은 마침 취업을 준비하고 있었기 때문에 FPGA를 정말 열심히 공부 하고 있던 찰나여서 나또한 유석이형에게 많은 것을 배우고 열심히 공부를 할수 있었다.
    리포트 | 3페이지 | 1,000원 | 등록일 2007.03.13
  • 임베디드 stopwatch
    임베디드 관련 자료로서 FPGA로 stop watch 를 구현한 vhdl 프로젝트이다.
    리포트 | 3,000원 | 등록일 2005.07.10
  • [합격자소서] 이테크건설(플랜트-전기) 대졸 신입사원 공채 최종합격자 자기소개서 유출 / 이테크건설 채용 / 이테크건설 합격방법 / 이테크건설자소서 / ETEC E&C
    또한, 이러한 도전정신으로 RF회로설계, FPGA기반의 임베디드 로봇 설계 등 전공프로젝트를 수행할 때마다 남들과 차별화된 생각으로 프로젝트를 시도함으로써 좋은 성적을 받을 수 있었습니다
    자기소개서 | 6페이지 | 3,000원 | 등록일 2013.02.07
  • 교사 자기소개서 전기.전자.통신 교사관련(합격서류)
    교내 전공 학술제에서도 ‘FPGA’를 이용하여 ‘엘리베이터’, ‘라인트레이서’, ‘무선마이크’ 등 여러 프로젝트 작품을 선보였습니다. ... 이러한 경험에서 더 나아가 도내에서 진행하는 ‘BK21 학술제’에도 ‘FPGA’와 ‘MCU’를 이용하여 ‘자동화분 분무기’라는 주제로 동아리 프로젝트를 출품하였고, 누리사업아래 진행된 ... 6석 리플렉스 방식의 라디오’를 분석하는 프로젝트 등을 하면서 실습을 통해 이론을 좀 더 재미있게 배울 수 있었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2009.06.02
  • [임베디드시스템]리눅스 기반에서 C언어로 프로그래밍한 슬롯 머신
    중Processor 보드, I/O 보드, LCD 보드는 Base 보드로 장착되거나 적층형으로 구성될수 있다.Base 보드에 최대 I/O 보드를 3개까지 장착하여 사용할 수 있고, DSP 보드나FPGA ... 프로젝트 과제의 필요성과제를 위한 프로젝트를 진행해봄으로써 임베디드 시스템을 보다 잘 이해하고, 프로젝트를 진행하면서 발생하는 여러 어려움을 직접 해결 하는 과정에서 실제 연구 개발을 ... 모든기기는 네트워크에 연결되고 가종 자동화 기능 및 기기별 특정 기능을 수행하기 위해임베디드 시스템이 내장되어 있다.1.3 프로젝트 과제의 중요성본 프로젝트 과제는 슬롯 머신 게임을
    리포트 | 12페이지 | 1,000원 | 등록일 2008.05.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:59 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대