• 통큰쿠폰이벤트-통합
  • 통합검색(318)
  • 자기소개서(160)
  • 리포트(157)
  • 이력서(1)

"fpga 프로젝트" 검색결과 221-240 / 318건

  • VHDL을 이용한 전자키 프로젝트 결과 보고서
    수행내용 및 방법전자키 프로젝트를 수행하기 위해서는 크게 전자키 확장보드 제작, 전자키 확장보드 테스트, 전자키 프로그램 구현과 같은 절차를 거친다.3.1 전자키 확장보드 제작3.1.1 ... 보드와 외부 확장 보드의 연결을 위한 커넥터(Connecter)- VCC 5V : 확장 Pin 46번을 통하여 PXA255-FPGA에서 5V의 전원이 공급된다.- GND : 확장 ... 예를 들어 3의 키를 누르게 되면 입력되는 키 값은 ‘11111011’이 인코딩되어 들어온다.3.3.3 Clock 입력 제어- PXA255-FPGA는 33MHz의 Main Clock을
    리포트 | 22페이지 | 2,500원 | 등록일 2007.06.10
  • 2017 상반기 포스코ICT 채용형인턴 연구개발 자기소개서
    때에는 FPGA와 라즈베리파이를 이용하여 지능형 자동차를 만드는 프로젝트를 하였고, 공모전에 참가하였습니다.이론을 배우는 것도 중요하지만 직접 적용해 봄으로써 프로젝트는 역량을 기르는데 ... 하지만 실패를 맛 본 건 VHDL로 FPGA를 설계하는 수업 이였습니다. 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. ... 인공지능, 알고리즘, 신호 및 시스템, 프로그래밍, 운영체제, 기초회로설계, FPGA 디지털 설계 등 하드웨어와 소프트웨어를 골고루 학습하였습니다. 4학년 때에는 수업시간에 배운 내용을
    자기소개서 | 7페이지 | 5,000원 | 등록일 2017.02.10 | 수정일 2017.03.01
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    Term project목차1. 제작 목적2. 활용 방안3. 사용 부품4. 데이터시트5. 사용 이론6. 회로7. 결과1. 제작 목적실험 실습을 통해 배운 여러 이론들인 게이트, 플립플롭, 7segment, 타이머, 디코더, 카운터 등을 이용하고 새로운 이론과 새로운 소자..
    리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • 2017 상반기 SK하이닉스 솔루션직군 자기소개서
    저희 팀은 FPGA 개발키트를 이용하여 은행 ATM기기를 설계하는 것 이였습니다. ... 곧 중간고사가 끝나고 팀원과 함께 프로젝트를 시작하였습니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. 욕심이 너무 컸던 겁니다.
    자기소개서 | 4페이지 | 6,000원 | 등록일 2017.02.08 | 수정일 2017.09.21
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 2018 NH농협은행 IT직, 자소서, 자기소개서,농협
    될 수 있다고 생각합니다.최근 본인이 이룬 가장 큰 성취는 무엇이었으며, 그 과정에서 얻은 것에 대해서 기술하여 주십시오.(1000byte)[끝까지 도전]3학년 때, VHDL로 FPGA를 ... 팀원도 비록 성적은 만족스럽지 못하지만 프로젝트를 끝까지 했다는 것에 대해 굉장히 만족스러워 했습니다. 그 이후로 저와 팀원은 프로젝트를 할 때 자신감을 가지고 임하게 되었습니다. ... IT직무에서는 최고의 기술력을 필요로 하는 SW역량을 위해 저는 다양한 프로젝트를 통해 키워왔습니다.
    자기소개서 | 2페이지 | 8,000원 | 등록일 2018.05.17
  • Combinational-Logic-Design-Ⅱ-Decoder, Encoder and Mux
    Manage Configuration Project (iMPACT) 실행한다.창이 새로 열리면 Boundary Scan을 선택하고 화면을 눌러 Initialize Chain을 선택한다.FPGA에 ... 실행할 폴더를 생성한다.다음과 같이 Setting한 후 생성을 완료한다.2비트 2 : 1 MUX 회로를 설계하기 위해 Source를 작성한 후 프로젝트에 Source를 추가한다.2비트 ... 구하고자 하는 데이터와 이를 획득하기 위한 실험 순서Inlab 1. 2비트 2 : 1 MUX 회로Project Navigator를 실행한다.New Project를 HDL로 만들고 프로젝트
    리포트 | 19페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 한국원자력연구원 정보시스템운영직 자기소개서, 자소서
    주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 멘토가 지정되어 매달 진행상황을 보고하고, 개발해 나가는 공모전이었습니다.제가 담당한 ... 기술이나 아이디어를 발휘해서 문제를 해결했던 당시의 상황과 해결방법을 상세히 기술해 주십시오. 400자‘FPGA와 라즈베리파이를 이용한 지능형자동차’에 관한 프로젝트를 진행 중에 직면한 ... 주십시오.경력*은 금전적 보수를 받고 일정 기간 일한 이력을 의미하며, 경험 **은 금전적 보수를 받지 않고 수행한 활동을 의미합니다. 800자* 기업이나 기관 근무, 학교에서의 프로젝트
    자기소개서 | 6페이지 | 6,000원 | 등록일 2017.05.01 | 수정일 2018.05.18
  • 디지털시계 Term Project
    이를 위한 FPGA를 설계하는데 있어서 1)CLOCK을 통해 시간을 재는 Counter(74161 symbol 활용)부분과 2)이 Counter의 신호를 받아 7 segment 신호로
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.02 | 수정일 2019.05.01
  • 한국서부발전 통신직 채용형인턴 합격 자소서,자기소개서
    주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 멘토와 함께 공모전에 출품하고자 프로젝트를 진행하였습니다. ... 작품 기능으로는 FPGA보드는 도로의 차선에 따라 주행하고, 라즈베리파이는 카메라를 이용하여 신호등을 인식하고 어플리케이션과 통신하여 실시간 모니터링과 조작이 가능합니다. ... 저희 팀은 지도교수 연구실 학생들이여서 매우 친했었고, 활발하게 프로젝트를 진행하였습니다.2-[Action & Result] 조직의 목표달성을 위해 귀하가 노력하셨던 점과 그에 따라
    자기소개서 | 3페이지 | 6,000원 | 등록일 2017.03.16 | 수정일 2018.05.18
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    WebPack을 실행시켜서 프로젝트를 새로 생성하고 이에 따른 VHDL 모듈을 선택한다. ... 먼저 Process 창에서 GenerateProgramming File에 마우스를 놓고 오른쪽 버튼을 눌러 Properties를 누른 후 Startup Options 탭에서 FPGA ... 할당해야한다.핀 설정을 마친 후, Generate Programming File에 마우스를 놓고 오른쪽 버튼을 눌러 Properties를 누른 후 Startup Options 탭에서 FPGA
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 최신 2017 SEMES 합격 자소서(세메스 합격 자기소개서)
    한 학기동안 약 10개가 넘는 verilog 프로젝트를 완성할 수 있었습니다. ... 쿼터스를 이용한 Counter, Encoder, Decoder부터 LCD, FPGA 곱셈, CPU설계능력은 하드웨어 분야에서 두각을 될 것입니다. ... 관련 프로젝트 경험과 기존 인프라를 이용하여 핵심칩을 디스플레이 패널에 직접 장착하여, 무선통신을 반도체와 디스플레이를 결합시킨 새로운 솔루션입니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2017.09.08 | 수정일 2017.09.11
  • KB생명보험 디지털ICT직 자기소개서, 자소서
    없다는 생각을 하면서 cool하게 살아가고 있습니다.2.남들과 다른 나만의 생각으로 개인 및 조직을 변화시켜 본 경험을 작성하여 주시기 바랍니다.(500자)3학년 때, VHDL로 FPGA를 ... 팀원도 비록 성적은 만족스럽지 못하지만 프로젝트를 끝까지 했다는 것에 대해 굉장히 만족스러워 했습니다. ... 만약 평가가 끝났다고 완성을 안 해봤다면 평생 프로젝트에 자신이 없었을 것이고, 매번 실패를 두려워했을 것입니다.
    자기소개서 | 5페이지 | 6,000원 | 등록일 2018.03.29 | 수정일 2018.05.18
  • Lab#09 Application Design2
    Methods1) Text LCD 문자표시 예제가) 프로젝트를 생성한다. ... Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE.
    리포트 | 31페이지 | 1,500원 | 등록일 2016.09.11
  • 논리설계및실험텀프로젝트 전자시계 제안서
    부산대학교2014년 10월 07일(화)요 약Term Project 전자시계 제작에 관한 보고서로 Project의 목표와 달성 과제, 추진 일정과 방법 등을 설명해둔 문서이다.목표FPGA
    리포트 | 6페이지 | 2,000원 | 등록일 2016.11.28
  • 서울대학교 전산직 자기소개서, 자소서,
    한이음 ICT공모전에 참가하기 위해서 팀원 2명과 함께 ‘FPGA와 라즈베리파이를 이용한 지능형 자동차’라는 주제로 프로젝트를 수행하였습니다. ... 작년에는 1년 규모의 프로젝트를 하게 되었습니다. ... 공모전에도 참가해보고 수업 프로젝트에서도 많은 경험을 해보았습니다.
    자기소개서 | 2페이지 | 5,000원 | 등록일 2017.02.08 | 수정일 2018.05.18
  • 2017년 상반기 LG디스플레이 최종 합격 자소서입니다.
    FPGA의 메모리가 부족하여 목표인 5mm의 검출도 쉽지 않아서, 수차례 실험을 통해 완성하게 되었습니다. ... 따라서 여행을 좋아했던 동료들의 관심과 프로젝트 진행 두 가지를 잡기 위해 현지에서의 인터뷰를 통한 조사 방법을 제안하였습니다. ... 또한 비교적 쉬운 주제를 선택했던 다른 조들은 인터넷으로 조사하여 순식간에 프로젝트를 끝내기도 하여, 팀원들의 사기는 저하되었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2017.09.24 | 수정일 2017.12.05
  • 사학연금, 사립학교교직원연금공단, IT직, 자소서, 자기소개서 , 전산직, 공기업, 연금공단
    주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 멘토가 지통신하고, FPGA보드와 신호를 주고받는 역할을 합니다. ... 그래서 시간이 오래 걸리고 코딩이 복잡했었습니다.저는 당장 며칠 뒤에 프로젝트 마감이라 시험공부를 해야 할 지 프로젝트를 처음부터 시작해야할 지 난감했습니다. ... 주십시오. * [500자 이상 ~ 1000자 이내]대학교 3학년 전공수업이었던 컴퓨터종합설계 과목은 VHDL(VHSIC Hardware Description Language)로 FPGA
    자기소개서 | 6페이지 | 6,000원 | 등록일 2017.04.07 | 수정일 2018.05.18
  • 한국철도공사, 코레일, 미래철도 IT직 자소서, 자기소개서, 공기업
    주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과
    자기소개서 | 2페이지 | 8,000원 | 등록일 2017.04.06 | 수정일 2018.05.18
  • ▷2017 하반기 CJ올리브네트워크 시스템개발
    졸업 작품으로는 1년 동안 진행했던 “FPGA와 라즈베리파이를 이용한 지능형 자동차” 프로젝트는 한이음 ICT 공모전에서 상도 수상하였고, 논문도 발표해보고, 특허 출원도 해보는 값진 ... 또한 주도적으로 프로젝트를 이끌어 나가고, 누구보다 열정적으로 그리고 서비스 정신이 뛰어나기 때문에 해당 직무를 잘 수행할 수 있습니다. ... 컴퓨터정보통신공학전공으로 여러 프로젝트를 하면서 개발에 대한 흥미를 가지게 되었습니다. 가장 처음 개발한 것은 atmega128을 이용하여 도어락을 만들었었습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2017.10.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:23 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대