• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(317)
  • 자기소개서(160)
  • 리포트(156)
  • 이력서(1)

"fpga 프로젝트" 검색결과 141-160 / 317건

  • 서울시립대학교 전자전기컴퓨터설계실험2 제03주 Lab02 Post
    그 후, 새로운 파일을 추가하면 Top-level source의 하위 항목으로 들어가며, 프로젝트가 실행되는 Runtime에는 추가한 하위 Source File들이 실행된다. ... 『HBE-ComboⅡ-SE』board,Lab#02 『Xilinx Spartan3』FPGA chip,『ISE』digital design tool실험 날짜2016. 9. 19학번이름Professor조교Expected ... 잘 지켜졌는지 확인한다면 시간을 더 단축할 수 있을 것이다.Reference교안 - Lab#02 『HBE-ComboⅡ-SE』board,Lab#02 『Xilinx Spartan3』FPGA
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • Lab#08 Application Design1
    ) 프로젝트를 생성한다. ... Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE. ... Methods1) Static 7-Segment Design가) 프로젝트를 생성한다.
    리포트 | 30페이지 | 1,500원 | 등록일 2016.09.11
  • 2017 하반기 KT IT SW개발 자소서, 자기소개서
    ]4학년 때에 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’라는 주제로 한이음ICT공모전에 참가하였습니다. 1년 규모의 프로젝트를 계획하였고, 멘토가 지정되어 매달 진행상황을 보고하고 ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. ... (최대 700자 입력가능)(1400byte)[끝이 어딘지를 확인하다.]3학년 때, VHDL로 FPGA를 설계하는 수업인 임베디드 시스템을 수강하였습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 전자전기컴퓨터설계실험2(전전설2)2주차예비
    Schematic 시트를 생성Schematic 으로 새로운 프로젝트를 생성하고 Schematic 파일을 생성한다.2. ... FPGA chip과 Xilinx를 이용하여 사용자 요구에 맞게 프로그래밍이 가능하고 실현 가능하다.라. ... 또한 FPGA 디바이스를 모듈화 하여 xilinx의 모듈이 장착 가능하고 디바이스로 호환하여 사용할 수 있다.
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • NAVER 네이버 인턴십 자기소개서
    FPGA(field-programmable gate array)와 라즈베리파이를 이용한 지능형자동차” 프로젝트입니다. 관련 영상은 유트브에서 보실 수 있습니다. ... 주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 멘토가 지정되어 매달 진행상황을 보고하고, 개발해 나가는 공모전이었습니다. ... 작품 기능으로는 FPGA보드는 자동차 제어부가 되어 초음파 센서와 적외선 센서,모터 제어를 담당하고, 라즈베리파이는 카메라를 통해 이미지처리와 어플리케이션과 통신하고, FPGA보드와
    자기소개서 | 3페이지 | 4,000원 | 등록일 2017.05.21
  • Lab#03 Verilog HDL
    Methods1) AND Gate 프로젝트 생성가) Top level Source : HDL나) FPGA Chip Select(1) Familly : Spartan3(2) Device ... Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE. ... LowLowLowLowLowHighLowHighLowLowHighHighHighLowLowHighLowHighHighHighLowHighHighHigh실험1은 Full Adder를 Gate primitive를 사용하여 구현해 보았다. verilog를 이용하여 FPGA
    리포트 | 20페이지 | 1,500원 | 등록일 2016.09.11
  • 2018 LG CNS 자소서, 자기소개서, SW개발직
    데이터베이스시스템 수업에서 mysql을 다뤄보았고, 임베디드시스템 수업에서는 FPGA를 이용하여 VHDL 프로그래밍언어로 프로젝트도 진행하였습니다. ... 참가하였습니다. 1년 가까이 멘토링 활동을 하면서 개발하였고, ‘FPGA와 라즈베리파이를 이용한 지능형 자동차’라는 주제로 공모전에 참가하여 입선을 하였습니다. ... 아두이노를 이용한 ‘IOT 스마트 홈 시스템’이라는 프로젝트도 진행했었습니다.
    자기소개서 | 2페이지 | 6,000원 | 등록일 2018.05.17
  • 베릴로그 verilog 프로젝트project 기본 전자시계 digital watch 소스 파일
    NET "in_CLK" LOC = P76;NET "out_En" LOC = P154;NET "out_RS" LOC = P156;NET "out_RW" LOC = P155;NET "out_DB[7]" LOC = P143;NET "out_DB[6]" LOC = P144;N..
    리포트 | 66페이지 | 10,000원 | 등록일 2013.09.09 | 수정일 2022.09.10
  • 2018 IBK시스템 채용 자기소개서, 자소서
    주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 멘토와 함께 공모전에 출품하고자 프로젝트를 진행하였습니다. ... 작품 기능으로는 FPGA보드는 도로의 차선에 따라 주행하고, 라즈베리파이는 카메라를 이용하여 신호등을 인식하고 어플리케이션과 통신하여 실시간 모니터링과 조작이 가능합니다. ... 물론 프로젝트도 중요하지만 취업준비도 중요하다고 생각합니다. 하지만 그 친구는 프로젝트에는 소홀했었고, 그러다 보니 프로젝트 진행은 더딜 수밖에 없었습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2018.05.17
  • 2018 CJ올리브네트웍스 소프트웨어개발, 자소서, 자기소개서
    졸업 작품으로는 1년 동안 진행했던 “FPGA와 라즈베리파이를 이용한 지능형 자동차” 프로젝트는 한이음 ICT 공모전에서 상도 수상하였고, 논문도 발표해보고, 특허 출원도 해보는 값진 ... 해당 사례에서 본인이 스스로 끈기를 가지고 노력한 과정과 결과③ 이를 통해 입사 후 기여할 수 있는 점은 무엇인지에 대하여 작성해 주세요. (1500자)① 3학년 때, VHDL로 FPGA를 ... 그 다음에는 c언어를 통해 간단한 게임을 만들어보았고, 더 나아가 IOT에 관한 프로젝트, 지능형 자동차에 대한 프로젝트 등 다양한 언어를 통해 개발자의 꿈을 키우게 되어 소프트웨어
    자기소개서 | 2페이지 | 5,000원 | 등록일 2018.05.17
  • 2017 하반기 예금보험공사 IT직 자기소개서
    한전KDN 문 호 차장님이 멘토로 지정되었고, 프로젝트 설계부터 프로젝트 일정 및 관리 등을 지도해 주셨습니다.작품 기능으로는 FPGA보드는 자동차 제어부가 되어 초음파 센서와 적외선 ... 제안을 받은 친구들과 함께 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’라는 주제로 한이음ICT공모전에 참가하였습니다. 1년 규모의 프로젝트를 계획하였고, 멘토가 지정되어 매달 진행상황을 ... 실패의 요인은 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해졌고, 계획을 제대로 세우지 못했기 때문입니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2017.10.23
  • verilog HDL를 이용한 택시미터기 구현
    할증 상태에 따라 LED상태가 변화한다. 1) 낮일 때 - ring카운터 2) 밤일 때(할증) - johnson카운터파일 구성 - 프로젝트 파일(폴더), 모듈별
    리포트 | 9,900원 | 등록일 2015.12.16 | 수정일 2020.11.12
  • 2018 LH한국토지주택공사 사무직, 전산, 자소서, 자기소개서, 공기업
    때 친구 2명과 한이음ICT공모전에 참가하고자 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’라는 주제로 팀을 구성하였습니다. 1년 규모의 프로젝트를 계획하였고, 멘토가 지정되어 매달 ... 하드웨어뿐만 아니라 소프트웨어 개발을 동시에 수행하였습니다.- 해당 기업이나 조직이나 활동에서 본인이 맡았던 역할에 대해 기술해 주십시오.(800byte)작품 기능으로는 FPGA보드는 ... 자동차 제어부가 되어 초음파 센서와 적외선 센서,모터 제어를 담당하고, 라즈베리파이는 카메라를 통해 이미지처리와 어플리케이션과 통신하고, FPGA보드와 신호를 주고받는 역할을 합니다
    자기소개서 | 5페이지 | 8,000원 | 등록일 2018.05.17
  • 2018 현대오토에버 ICT일반, 디지털직, 자기소개서,자소서
    졸업 작품으로는 1년 동안 진행했던 “FPGA와 라즈베리파이를 이용한 지능형 자동차” 프로젝트는 한이음 ICT 공모전에서 상도 수상하였고, 논문도 발표해보고, 특허 출원도 해보는 값진 ... 성장하겠습니다.본인이 도전했던 여러 경험 중 가장 힘들었던 경험을 작성하고 이를 통해 배운 점은 무엇이었는지 작성해주시기 바랍니다.(500자)[끝까지 도전]3학년 때, VHDL로 FPGA를 ... 팀원도 비록 성적은 만족스럽지 못하지만 프로젝트를 끝까지 했다는 것에 대해 굉장히 만족스러워 했습니다.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2018.05.17
  • 2017 우리은행 IT직 자소서, 자기소개서
    만약 평가가 끝났다고 완성을 안 해봤다면 평생 그 프로젝트에 자신이 없을 것이고, 매번 실패를 두려워했을 것입니다. ... 실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 난이도가 커졌습니다. ... 뿐만 아니라 다양한 언어 프로그래밍으로 프로젝트를 수행함으로써 처음 접하는 언어일지라도 두려워하지 않고 도전할 수 있습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 2017 상반기 NICE평가정보원 IT서비스개발직 자기소개서
    또한 전반적인 지식을 바탕으로 정보처리기사 자격증을 취득하였고, CCNA 수업도 수료하였습니다.해본 프로젝트 중에 가장 기억에 남는 프로젝트는 1년간 준비했던 ‘FPGA와 라즈베리파이를 ... 작품 기능으로는 FPGA보드는 자동차 제어부가 되어 초음파 센서와 적외선 센서,모터 제어를 담당하고, 라즈베리파이는 카메라를 통해 이미지처리와 어플리케이션과 통신하고, FPGA보드와 ... 물론 전공분야가 아닌 내용이 많아 가볍게 읽지만, IT에 전반적인 내용을 습득할 수 있어 좋은 습관이자 취미라고 생각합니다.저의 가장 큰 경쟁력은 다양한 프로그래밍 경험과 프로젝트
    자기소개서 | 2페이지 | 4,500원 | 등록일 2017.03.12
  • 2017 상반기 LG전자 VC본부 신입R&D연구개발 자기소개서
    작년에는 ‘FPGA와 라즈베리파이를 이용한 지능형 자동차’라는 주제를 가지고 한이음ICT공모전에 참가하였습니다. ... 단 한 번의 프로젝트를 통해 모든 것을 배웠다고 말하긴 어렵지만 제가 지원하는 LG전자 R&D_SW직무는 제가 관심 있어 했고, 개발하고 싶었던 프로젝트와 매우 흡사하였고, 좀 더 ... 제가 맡은 역할은 FPGA 설계를 통해 자동차제어부분을 프로그래밍 하였고, 라즈베리파이에서 Python으로 이미지처리를 하고, 스레드를 구성하여 서버와 메인프로그램을 만들고, 어플리케이션과
    자기소개서 | 2페이지 | 5,000원 | 등록일 2017.02.10 | 수정일 2017.03.20
  • FPGA, Xilinx ISE 7.1i 로 주무르기
    FPGA 공부를 시작했다고 말씀드리자 제대로 알아놓으면 나중에 도움이 된다는 희망적이 말씀을 해 주셨다. 이번 프로젝트를 하면서 정말 오랜만에 과제의 즐거움(?)을 느꼈다. ... 이번 프로젝트는 비록 시뮬레이션에 그쳤지만 후에 할 프로젝트에서는 꼭 실제 시스템에 적용시켜 훌륭한 결실을 맺고 싶다. ... 결국 예제 컴파일 성공...나의 프로젝트에 적용시키는 것은 시간문제에 불과 했다. 현재 삼성에서 일을 하고 계시는 선배님과 이야기를 나눴었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2007.10.25
  • 2017 상반기 LG이노텍 R&D sw차량부품 합격 자기소개서
    작년에는 ‘FPGA와 라즈베리파이를 이용한 지능형 자동차’라는 주제를 가지고 한이음ICT공모전에 참가하였습니다. ... 멘토가 지정되어 1년 동안 매달 진행상황을 발표하고 프로젝트 관련하여 멘토링을 하였습니다. ... 비록 입선에 그쳤지만, 1년 가까이 프로젝트를 하면서 여러 가지를 동시에 배울 수 있었습니다.
    자기소개서 | 2페이지 | 8,000원 | 등록일 2017.03.29 | 수정일 2018.05.11
  • VHDL을 TFT LCD와 함께 이용한 스도쿠게임 만들기
    FPGA Quartus8.1 버전을 이용한 DE2 보드 VHDL 파일입니다.
    리포트 | 4,000원 | 등록일 2016.04.13 | 수정일 2016.04.15
  • AI글쓰기 서비스 오픈
  • 파트너스 등급업 이벤트
AI 챗봇
2024년 08월 18일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:05 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기