• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(317)
  • 자기소개서(160)
  • 리포트(156)
  • 이력서(1)

"fpga 프로젝트" 검색결과 201-220 / 317건

  • 2017상반기 한전 KDN 전산직 자기소개서
    센서,모터 제어를 담당하고, 라즈베리파이는 카메라를 통해 이미지처리와 어플리케이션과 통신하고, FPGA보드와 신호를 주고받는 역할을 합니다. ... 한이음 ICT공모전에서는 멘토를 지정해주어서 매달 멘토에게 진행상황을 보고하고 여러 가지 활동을 하였습니다.작품 기능으로는 FPGA보드는 자동차 제어부가 되어 초음파 센서와 적외선 ... VHDL(VHSIC Hardware Description Language)로 FPGA(field-programmable gate array)를 설계하는 전공수업 이였는데 저희 팀은
    자기소개서 | 4페이지 | 8,000원 | 등록일 2017.02.08 | 수정일 2017.10.03
  • 2017 금호아시아나그룹, 아시아나IDT, 시스템운영개발직, 자기소개서 ,대기업 채용
    라즈베리파이를 이용한 지능형자동차’이라는 프로젝트를 하게 되었습니다. ... 작품 기능으로는 FPGA보드가 도로의 차선에 따라 주행을 제어하고, 라즈베리파이는 카메라를 이용하여 신호등을 인식하고 어플리케이션과 통신하여 실시간 모니터링을 합니다. ... 어려움, 이를 극복한 방법,그리고 그 일의 결과를 중심으로 서술해 주십시오 * [100자 이상 500자 이내]한이음ICT공모전에 참가하고자 팀을 구성하였고, 1년간의 계획으로 ‘FPGA
    자기소개서 | 5페이지 | 5,000원 | 등록일 2017.04.19
  • 2018 삼성물산, 삼성전자, 자소서, 자기소개서, IT직무 , 삼성그룹, 삼성, 대기업
    실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. 욕심이 너무 컸던 겁니다. ... 이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업이었습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. ... 하지만 이 프로젝트는 처참히 실패하였고, 허무하다는 생각만 들었습니다.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2018.05.18
  • 2017 현대오트론 차량융합제어 자소서,자기소개서
    주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 멘토와 함께 공모전에 출품하고자 프로젝트를 진행하였습니다. ... 작품 기능으로는 FPGA보드는 도로의 차선에 따라 주행하고, 라즈베리파이는 카메라를 이용하여 신호등을 인식하고 어플리케이션과 통신하여 실시간 모니터링과 조작이 가능합니다. ... 이러한 흥미를 가지고 자량제어 분야에서 세계 1위가 되기 위해서 지원하게 되었습니다.작년 팀 프로젝트를 통해 지능형자동차에 대한 연구와 프로젝트를 진행하였는데 차량제어에 대한 분야에
    자기소개서 | 2페이지 | 4,500원 | 등록일 2017.03.27 | 수정일 2018.05.18
  • 전자전기컴퓨터설계실험2(전전설2)6주차결과
    HDL project를 생성새 프로젝트를 생성할 때 프로젝트의 종류를 설정해주어야 한다. ... 또한 FPGA 디바이스를 모듈화 하여 xilinx의 모듈이 장착 가능하고 디바이스로 호환하여 사용할 수 있다. ... HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 2017 한화시스템 SW직 자기소개서
    작년에는 ‘FPGA와 라즈베리파이를 이용한 지능형 자동차’라는 주제를 가지고 한이음ICT공모전에 참가하였습니다. 멘토가 지정되어 1년 동안 프로젝트 관련하여 멘토링을 하였습니다. ... 비록 입선에 그쳤지만, 1년 가까이 프로젝트를 하면서 여러 가지를 동시에 배울 수 있었습니다. ... 제가 맡은 역할은 FPGA 설계를 통해 자동차제어부분을 프로그래밍 하였고, 라즈베리파이에서 Python으로 이미지처리를 하고, 스레드를 구성하여 서버와 메인프로그램을 만들고, 어플리케이션과
    자기소개서 | 4페이지 | 4,500원 | 등록일 2017.05.01
  • 네이버, 신입사원, 공채, NAVER, SW직, SW연구직, SW개발직, 자소서, 자기소개서, 취업
    프로젝트는 아두이노를 통해 간단하게 홈 IOT 시스템을 구축해보았습니다.Python으로 했던 프로젝트는 지금도 진행 중이지만 FPGA(field-programmable gate ... , FPGA, 아두이노, 라즈베리파이 등 하드웨어와 소프트웨어를 종합적으로 설계하는 것에 관심을 갖게 되었습니다. ... 또한 최근 자율주행자동차에 관한 프로젝트를 하면서 인공지능, 자율주행, 네트워크 분야에서도 관심이 많이 생겨 프로젝트를 하면서 꾸준히 공부하고 있습니다.
    자기소개서 | 3페이지 | 6,000원 | 등록일 2016.11.24 | 수정일 2018.05.18
  • 2017 상반기 KTH 소프트웨어개발직 자기소개서, KT그룹, 대기업 채용
    실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. ... 책임감을 가지고 적극적으로 문제를 해결한 경험과, 그 경험을 통해 얻은 것은 무엇인지 구체적으로 기술해 주십시오.(1400byte)[끝이 어딘지를 확인하다.]3학년 때, VHDL로 FPGA를 ... 만약 평가가 끝났다고 완성을 안 해봤다면 평생 그 프로젝트에 자신이 없을 것이고, 매번 실패를 두려워했을 것입니다.
    자기소개서 | 4페이지 | 3,500원 | 등록일 2017.04.25
  • 2017 KT IT컨설팅,수행직 자기소개서, KT그룹, 대기업채용
    실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. ... 책임감을 가지고 적극적으로 문제를 해결한 경험과, 그 경험을 통해 얻은 것은 무엇인지 구체적으로 기술해 주십시오.(1400byte)[끝이 어딘지를 확인하다.]3학년 때, VHDL로 FPGA를 ... 만약 평가가 끝났다고 완성을 안 해봤다면 평생 그 프로젝트에 자신이 없을 것이고, 매번 실패를 두려워했을 것입니다.
    자기소개서 | 3페이지 | 4,500원 | 등록일 2017.04.25 | 수정일 2017.05.01
  • VHDL을 이용한 교통신호등 설계
    VHDL을 이용한 교통신호등(Traffic) 설계프로젝트 목표: VHDL을 이용하여 교통신호등을 설계한다.개발도구: FPGA(ALTERA)BOARD, QuartursⅡ//신호등 구동을
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.06
  • VHDL을 이용한 논리 게이트 실습
    여기서는 합성된 로직을 실제 FPGA 내부에 배치하게된다.다섯 번째로 보드 다운로드 및 프로그램 하는 단계이다. 실제 알테라 보드에 로직을 다 운로드하여 동작을 확인하게된다.4. ... 선택, 2는 위에서부터 순서대로 FPGA, 672, 6을 선택한뒤, 3에서 맨위에 장비를 마우스로 클릭 해주고 Next를 눌러 넘어간다.여기서는 EDA 툴을 설정해줄수 있는데 지금은 ... 사용할 툴은 따로 없으므로 Next를 눌 러준다.다음과 같이 마지막 총 설정을 확인한뒤 Finish를 눌러 프로젝트 생성을 끝낸다.프로젝트 생성을 마쳤으면 File< New를 눌러보면디자인
    리포트 | 28페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 전자전기컴퓨터설계실험2(전전설2)4주차결과
    HDL project를 생성새 프로젝트를 생성할 때 프로젝트의 종류를 설정해주어야 한다. ... 또한 FPGA 디바이스를 모듈화 하여 xilinx의 모듈이 장착 가능하고 디바이스로 호환하여 사용할 수 있다. ... HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    AND gateProject Navigator 실행프로젝트를 만들고 프로젝트를 실행할 폴더를 생성한다.AND gate 로직 설계AND 게이트 심볼 불러오기입출력 심볼 연결한 후에 두 ... Post-Lab Report- Title: Lab#02_HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴 -담당 교수담당 조교실 험 일학 ... 입력을 각각 a, b로, 출력을 x로 설정Source를 And_test.sch으로 저장Source 프로젝트에 추가 및 확인Synthesize - XST Compile & Implement
    리포트 | 23페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 한국자산관리공사 전산직 자소서, 자기소개서, 캠코
    주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 멘토가 지정되어 매달 진행상황을 보고하고, 개발해 나가는 공모전이었습니다. ... 작품 기능으로는 FPGA보드는 자동차 제어부가 되어 초음파 센서와 적외선 센서,모터 제어를 담당하고, 라즈베리파이는 카메라를 통해 이미지처리와 어플리케이션과 통신하고, FPGA보드와 ... 물론 프로젝트도 중요하지만 취업준비도 중요하다고 생각합니다. 하지만 그 친구는 프로젝트에는 소홀했었고, 그러다 보니 프로젝트 진행은 더딜 수밖에 없었습니다.
    자기소개서 | 5페이지 | 8,000원 | 등록일 2017.04.06 | 수정일 2018.05.18
  • 논리설계 및 실험 전자시계 결과보고서
    부산대학교2014년 12월 05일(화)요 약Term Project 전자시계 제작에 관한 보고서로 Project의 최종 결과 및 Project 작동 법 사용한 회로 등을 설명해둔 문서이다.목표FPGA ... FLOWRIAN을 이용한 논리 회로(디지털 시스템) 설계FLIP-FLOP과 REGISTER등을 이용하여 전자시계에 필요한 기능(Counter,분주기 등) 구현 및 최종 목표인 전자시계 구현매뉴얼FPGA보드
    리포트 | 9페이지 | 2,000원 | 등록일 2016.11.28
  • 2017 하반기 동부그룹 인프라 엔지니어 자소서, 자기소개서
    프로젝트로 저희 팀은 은행 ATM기기를 구현하기로 했습니다. 하지만 VHDL은 c언어와 너무나 달랐습니다. ... 노력하여, 한발 앞서가는 사람이 되겠습니다.이제까지 가장 어려웠던 위기와 이를 극복하기 위해 했던 행동과 결과에 대해 구체적으로 기술하십시오(1200byte)3학년 때, VHDL로 FPGA를 ... 전공수업뿐만 아니라 여러 팀 프로젝트를 통해 SW개발능력도 꾸준히 길러왔습니다.제가 입사를 한 후에 누가 시키지 않아도 모든 일을 스스로 찾아서 하는 사람이 되겠습니다.
    자기소개서 | 2페이지 | 4,000원 | 등록일 2017.10.23 | 수정일 2018.05.18
  • 2018 현대오일뱅크 IT직 합격 자소서, 자기소개서
    하는데 중요한 정보들을 얻을 수 있습니다.* 본인의 인생에 가장 큰 영향을 미친 사건과 그 사건이 주는 의미에 대하여 기술하시오.(600자)[끝까지 도전]3학년 때, VHDL로 FPGA를 ... 다양한 전공지식으로 여러 가지 프로젝트를 해보았고, 프로젝트 경험으로 통해 많은 것을 배웠습니다.저는 색다른 취미를 가졌습니다. IT기사를 읽는 것 입니다. ... 팀원도 비록 성적은 만족스럽지 못하지만 프로젝트를 끝까지 했다는 것에 대해 굉장히 만족스러워 했습니다.
    자기소개서 | 2페이지 | 10,000원 | 등록일 2018.05.17
  • 2017 NH농협은행 IT직무 자기소개서, 자소서, 취업
    실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 난이도가 커졌습니다. ... 하게 된 이유와 구체적인 상황ㆍ그 경험을 통해 얻은 역량과 이를 실제 적용해본 사례 또는 앞으로의 활용방법ㆍ그 과정에서 느낀 점 또는 본인의 변화(700자)3학년 때, VHDL로 FPGA를 ... IT직무에서는 최고의 기술력을 필요로 하는 SW역량을 위해 저는 다양한 프로젝트를 통해 키워왔습니다.
    자기소개서 | 4페이지 | 4,000원 | 등록일 2017.10.25 | 수정일 2018.05.18
  • 2018 KAC 한국공항공사 전산직 자소서, 자기소개서
    주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 멘토가 지정되어 매달 진행상황을 보고하고, 개발해 나가는 공모전이었습니다.제가 담당한 ... 지능형자동차’에 관한 프로젝트를 진행 중에 직면한 문제였습니다. ... 새로운 문제에 대해 기존에 없던 혹은 이전과 다른 방식으로 문제를 해결했던 경험을 구체적인 사례로 기술해 주시기 바랍니다.(200자 이상 400자 이내)‘FPGA와 라즈베리파이를 이용한
    자기소개서 | 6페이지 | 8,000원 | 등록일 2017.05.01 | 수정일 2018.05.18
  • VHDL을 이용한 전자키 프로젝트 결과 보고서
    수행내용 및 방법전자키 프로젝트를 수행하기 위해서는 크게 전자키 확장보드 제작, 전자키 확장보드 테스트, 전자키 프로그램 구현과 같은 절차를 거친다.3.1 전자키 확장보드 제작3.1.1 ... 보드와 외부 확장 보드의 연결을 위한 커넥터(Connecter)- VCC 5V : 확장 Pin 46번을 통하여 PXA255-FPGA에서 5V의 전원이 공급된다.- GND : 확장 ... 예를 들어 3의 키를 누르게 되면 입력되는 키 값은 ‘11111011’이 인코딩되어 들어온다.3.3.3 Clock 입력 제어- PXA255-FPGA는 33MHz의 Main Clock을
    리포트 | 22페이지 | 2,500원 | 등록일 2007.06.10
  • AI글쓰기 서비스 오픈
  • 파트너스 등급업 이벤트
AI 챗봇
2024년 08월 18일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:08 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기