• 통큰쿠폰이벤트-통합
  • 통합검색(318)
  • 자기소개서(160)
  • 리포트(157)
  • 이력서(1)

"fpga 프로젝트" 검색결과 261-280 / 318건

  • 무인 자동차의 과거 현재 미래
    그리고 연구팀은 CPU, FPGA(프로그래밍이 가능한 반도체), 각종 센서를 통해 입출력(I/O)된 정보를 받는 장치 등을 활용해 무인 자동차에 필요한 시스템을 구현했다.KAIST ... 본격적인 자율주행 차량 연구, 개발이 진행되게 되었다.구글이 자동 운전 자동차를 처음 발표한 것은 2010년 10월이지만, 구글은 이미 그 이전에 비 공개적으로 자동 운전 자동차 프로젝트
    리포트 | 11페이지 | 2,000원 | 등록일 2015.12.15
  • 101013 로봇카실험
    )를 이용한 극한 로봇과 같은 지능로봇 분야의 프로젝트 수업 및 캡스톤 설계 교과목 수업을 할 수 있다.② 주요 특징㉮ 지능시각 처리를 위한 CCD 카메라 및 Pan/Tilt 기능을 ... 위한 서보모터를 구성.㉯ Color space converting 등과 같은 영상 전처리 전용 프로세서(FPGA)를 탑재.㉰ 블루투스, 무선 랜, Zigbee 등 로봇의 지능제어를 ... 수 있고, CCD 카메라(지능시각)는 Pan/Tilt 기능 제공을 위한 서보모터(2EA)로 구성되어 이를 이용한 다양한 비전시스템 실험실습을 할 수 있으며, 영상 전처리 프로세서(FPGA
    리포트 | 11페이지 | 1,500원 | 등록일 2011.10.18
  • 2016 삼성전자 DS부문 메모리사업부 자기소개서
    실패의 요인 중 하나는 주어진 교육용 FPGA 키트의 기능을 다 쓰려고 하다 보니 너무 복잡해지고 규모가 커졌습니다. 욕심이 너무 컸던 겁니다. ... 이 두 과목은 모두 VHDL로 FPGA를 설계하는 수업 이였습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. ... 곧 중간고사가 끝나고 팀원과 함께 프로젝트를 시작하였습니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2016.05.16 | 수정일 2017.02.28
  • 토비스 연구개발 회로 설계 필기 합격자소서 입니다. 합격당시 취업상태로 면접은 참여하지 않았습니다. 서류는 넣은 후 한참 뒤에 합격 연락 왔습니다.
    현재 비록 FPGA를 다루지는 못하지만, 펌웨어 수업을 들으며 프로그래밍 실력을 열심히 키웠습니다. ... 주어진 도면과 같이 왜 배치가 되었는지 공부하며 프로젝트를 수행하였습니다. AVR을 이용한 펌웨어 프로젝트에서는 항상 플로우차트를 노트에 작성하였습니다. ... 성적이나 감시가 없는 교육 과정이지만 필요성과 절실함을 가지고 임하여 총 6개의 프로젝트를 수행할 수 있었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2017.03.02 | 수정일 2017.04.03
  • [컴퓨터구조] Quartus를 이용한 32bit ALU (Arithmetic Logic Unit) 설계
    FPGA 합성 결과 및 리포트 파일6. 프로젝트 후기1. ... 을 수행 한다로직 합성(Synthesis), 배치 및 배선(Place&Routing)과정 수행타이밍 시뮬레이션(Timing simulation)을 수행하여 FPGA의 환경에서의 지연을 ... 프로젝트 소개프로젝트 내용Verilog-HDL에 설계한 알고리즘내용에 대한 소개2. Verilog 소스 코드3. 기능레벨 시뮬레이션 및 타이밍 시뮬레이션 파형4.
    리포트 | 17페이지 | 3,000원 | 등록일 2004.12.02
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    측정할 수 있다▼ 사용된 툴과 키트 소개- 사용 툴 : Quartus2- 키트 : HBE-COMBO2[FPGA] > Cyclone2 (EP2C35F672C8N)▼ 핵심 알고리즘과 ... 프로젝트 설명▼ 프로젝트 소개- 키트의 Segment에 시간을 Display하고, Button switch로 control- 시간, 분, 1/100초 단위까지 있어서 세밀하게 시간을 ... 프로젝트 목표- VHDL에 프로그램을 작성하여 다수의 7segment로 디지털 스톱워치를 만들 수 있다.4.
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • [합격자소서]16년도 하반기 아이디스
    구현하는 프로젝트를 수행했습니다. ... 수행할 수 있는 CPU를 만드는 것이 목표였습니다.THUMB CPU의 명령어 디자인, Xilinx Vivado tool로 시뮬레이션을 통해 Logic을 검증, 이를 합성하여 실제 FPGA ... 그 결과 속도는 느렸지만 단 한 번도 프로젝트를 실패한 적은 없습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2016.12.13
  • 광주.제조 서진산업
    학부시절의 전공프로젝트를 통해, 전자, 전기 H/W Analog회로 기초설계 , ‘FPGA를 이용한 8비트 마이크로프로세서 디지털 회로 설계’ 부터 시작하여, 졸업과제 ‘Atmega와 ... 단점은 너무 집중하면 일을 가끔 잊어버리는 것입니다. 3일 뒤 공연을 할 상황이 생겼고, 연습실대여, 실험프로젝트 참여 등 많은 일이 겹쳐 혼란스러웠습니다. ... 통신장교 시절에는 6시그마 이론을 통한 ‘통신망 개통시간 단축’ 프로젝트진행과 전자자물쇠를 이용한 원격 탄통개방장치, 맞춤식 상용무전기 안테나 개발을 통하여 이론을 실무에 적용하고,
    자기소개서 | 1페이지 | 3,000원 | 등록일 2015.08.31 | 수정일 2021.03.15
  • 디지털 시스템 설계 - UART 를 이용한 FPGA의 LCD 구동
    프로젝트의 큰 block diagram은 다음과 같다.상기의 system은 2개의 UART module과 LCD controller, FPGA 단으로 구성되어 있다. ... UART1에서 UART2로 데이터를 전송한 후 이를 UART2에서 LCD controller로 재전송 하여 FPGA의 LCD창에 띄우는 것이 금번 프로젝트의 목적이다.각각의 UART는 ... 디지털 시스템 설계Project 2Problem Statement and Design Specification이번 프로젝트는 1차 프로젝트에서 수행하였던 complete UART의
    리포트 | 13페이지 | 3,000원 | 등록일 2009.09.01
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    Snapshots 탭에는 현재 프로젝트 네비게이터에서 열린 프로젝트와 관련된 snapshot들이 나타나는데, 이 snapshot이란 사용중인 디렉토리(서브디렉토리를 포함한)의 모든 ... FPGA의 개념은 단순하다. ... 먼저 Source 탭은 현재 만들고 있는 프로젝트의 모든 것들이 나타난다. 이 탭에서 보면 해당 파일들이 어떤 형식인지 쉽게 구분이 가도록 파일타입마다 다른 아이콘들로 나타난다.
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • [컴퓨터구조]Quartus를 이용한 32bit Ripple Carry Adder (RCA) 설계
    FPGA 합성 결과 및 리포트 파일6. 프로젝트 후기1. ... 프로젝트 소개프로젝트 내용Verilog-HDL에 설계한 알고리즘내용에 대한 소개2. Verilog 소스 코드3. 기능레벨 시뮬레이션 및 타이밍 시뮬레이션 파형4. ... 수행한다로직 합성(Synthesis), 배치 및 배선(Place&Routing)과정 수행타이밍 시뮬레이션(Timing simulation)을 수행하여 FPGA의 환경에서의 지연을
    리포트 | 13페이지 | 1,000원 | 등록일 2004.12.02
  • Xilinx VHDL을 활용한 슬롯머신 설계
    상태 진행도는 12월 18일까지 모든 프로젝트를 완료하여 최종 점검을 하는 것으로 했다. ... 이 때 각자 파트가 있지만 같이 진행되어야 하는 프로젝트이므로 서로 도와가며 진행하는 것이 좋다고 결정을 내렸다. ... 수 있는 능력을 키우고자 하는 것.제한 된 시간 안에 프로젝트를 완성함으로써 앞으로 사회에서 겪게 되는 상황에 대해 미리 대처해 볼 수 있는 경험을 해 보는 것.2.
    리포트 | 25페이지 | 3,000원 | 등록일 2013.06.01 | 수정일 2019.01.02
  • VHDL를 이용한 농구전광판 구현
    프로젝트를 통해 종합적인 설계방법을 익힌다. - 키트를 이용하여 실제 값이 제대로 나오는지 확인한다. ... Problem Statement- Describe what is the problem. - 농구 전광판 PROJECT 프로젝트 내용은 농구 전광판의 기능을 설계하는 과제로서 모든 기능은
    리포트 | 33페이지 | 5,000원 | 등록일 2010.05.27
  • 신의손) 합격 한글 이력서
    , Traffic 보드와 FPGA를 이용한 디지털 하드웨어 설계▶ 인 원 : 2명▶ 사 용 언 어 : HDL (verilog)▶ 프로젝트소개: 1. ... 이용한 증폭회로 설계2012 전자회로 실험2- A/D Convert 보드, Traffic 보드와 FPGA를 이용한 디지털 하드웨어 설계2012 디지털 시스템 설계- 하드웨어 가속기를 ... HDL을 이용한 디지털 시스템의 모델화 및 모의실험 기법 숙지프로젝트 기술서-22012. 1학기 전자회로 1 최종 프로젝트프로젝트 명 : 다이오드, BJT, MOSFET을 이용한
    이력서 | 17페이지 | 무료 | 등록일 2014.08.20 | 수정일 2016.01.10
  • MIPS Processor multi cycle(verilog)
    FPGA 환경에서의 디지털 로직 설계 개념 이해 및 설계된 로직의 합성과 검증 과정 확인- 범용 32-bit RISC Machine에 대한 이해2. ... 로직 합성(Synthesis), 배치 및 배선(Place&Routing) 과정을 수행한 후 타이밍 시뮬레이션 (Timing simulation) 을 수행하여 FPGA의 환경에서의 지연을 ... 프로젝트소개Project #3 : Multicycle sMIPS 설계1.
    리포트 | 23페이지 | 1,500원 | 등록일 2009.07.31
  • 13년도 하반기 만도그룹 신입사원 채용 서류 합격 자소서
    물론, 배경지식부터 FPGA칩의 역할과 software와의 적용까지 모든 것을 영어로 이해하고 혼자서 공부하려니, 보통 일이 아니었습니다. ... 한 달여간, 선택적으로 프로젝트를 하는 프로그램이 있었고, 저는 타국에서 외국어만을 사용하여 전공 프로젝트를 경험해 볼 수 있는 좋은 기회라고 생각되었습니다. ... 그렇게 우여곡절 끝에 프로젝트를 완성하고 제출한 보고서는 A+라는 좋은 점수를 받게 되었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2014.08.03
  • 논리회로실험 설계 보고서
    작은 프로젝트라 할지라도 IEEE 표준 정의와 같은 표준 라이브러리를 사용할 수 있다. ... FPGA board에 설계한 코드를 load한 후 회로로 구현하여 곱셈기의 동작을 눈으로 확인하여 본다.vhdl에 대한 기본적인 설명- HDL은 Text editor, Compiler
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 32bit ALU(연산기) 베릴로그(Verilog) 소스코드, 시뮬레이션 분석, 블록다이어그램, 고찰
    - FPGA 환경에서의 디지털 로직 설계 개념 이해 및 설계된 로직의 합성과 검증 과정 확인- 범용 32 비트 ALU에 대한 이해2. ... 로직 합성(Synthesis), 배치 및 배선(Place&Routing) 과정을 수행한 후 타이밍 시뮬레이션 (Timing simulation) 을 수행하여 FPGA의 환경에서의 지연을 ... 프로젝트소개Project #1 : ALU (Arithmetic Logic Unit) 설계1.1.
    리포트 | 12페이지 | 1,500원 | 등록일 2009.07.22
  • 신도리코 자기소개서(R&D)
    학부시절의 전공프로젝트를 통해, 전자, 전기 H/W Analog회로 기초설계 , ‘FPGA를 이용한 8비트 마이크로프로세서 디지털 회로 설계’ 부터 시작하여, 졸업과제 ‘Atmega와 ... 단점은 너무 집중하면 일을 가끔 잊어버리는 것입니다. 3일 뒤 공연을 할 상황이 생겼고, 연습실대여, 실험프로젝트 참여 등 많은 일이 겹쳐 혼란스러웠습니다. ... 통신장교 시절에는 6시그마 이론을 통한 ‘통신망 개통시간 단축’ 프로젝트진행과 전자자물쇠를 이용한 원격 탄통개방장치, 맞춤식 상용무전기 안테나 개발을 통하여 이론을 실무에 적용하고,
    자기소개서 | 1페이지 | 3,000원 | 등록일 2015.08.31 | 수정일 2016.04.13
  • PreLab> Usage of XilinxISE on Spartan-3 프리렙 스파르탄 3 보드에서 자일링스 사용법
    프로젝트 생성 하는 법ㄴ. VHDL Source Code 작성하는 법ㄷ. Functional Simulation 하는 법ㄹ. Synthesis 하는 법ㅁ. ... 프로젝트 생성 하는 법설계를 하기 위해서는 반드시 Project를 생성시켜야 한다.Project = 설계된 파일들의 상호관계 및 관리를 위한 중요한 역할Next 버튼을 눌러주면 Xilinx ... 또한 구현하고자 하는 논리회로가 FPGA 내에 존재하는 리소스(CLB,IOB)에 구현될 수 있도록 매핑하는 작업이 이루어진다.Place & Route – 네트리스트 내의 케이트를트정
    리포트 | 18페이지 | 2,000원 | 등록일 2009.01.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:38 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대