• 통큰쿠폰이벤트-통합
  • 통합검색(122)
  • 리포트(110)
  • 자기소개서(11)
  • 시험자료(1)

"HDL코딩" 검색결과 101-120 / 122건

  • [프로세서 설계] 신호등 설계 verilog HDL 을 이용하 설계
    하지만 스펙설정하고 신호딩코딩 , 진리표를 다완성했으므로 , verilog 코딩을 좀더 숙지하여 코딩되면 짤수있을꺼 같다 . ... Processor design - Design through Verilog HDL (traffic control system )- 과목 : 프로세서 설계 담당교 수 : 이용환 교수님 ... 책도 찾아보고 이리저리 뛰어다닌 결과 다른 코딩에러는 찾앗으나 끝내 이 에러를 찾지 못하여 지연시간을 이용한 신호등 코딩에는 실패하였다 .
    리포트 | 33페이지 | 3,000원 | 등록일 2009.12.22
  • H264/AVC를 위한 디블록킹 필터의 효율적인 구조
    Register 사용TR2+양방향 입출력 구조와 데이터 패스 재구성 방식 사용 경계 5~28 필터링 시 매우 유용함page * / 31설계 검증 및 성능 분석 (1)동작 검증Verilog_HDL을 ... bS 계산+필터링 될 2개의 인접한 4x4 블록은 하나의 bS 값을 공유 bS의 범위 : 0~4 (4: 가장 강한 필터링 / 0: 필터링 되지 않음) 2개의 인접한 4x4 블록의 코딩 ... Deblocking Filter RO : Reorder EE : Entropy Encoding ED : Entropy Decodingpage * / 31H.264/AVC 코덱 구성요소인터 예측 코딩
    리포트 | 30페이지 | 2,000원 | 등록일 2012.01.28
  • ASIC실험
    ****************--* This automatically generated Test Bench template has been created*--* By ACTIVE-HDL ... VHDL 코딩 parity checkerlibraryieee;use ieee.std_logic_1164.all;entity Parity_checker isport(s : in std_logic_vector
    리포트 | 5페이지 | 8,000원 | 등록일 2008.09.03
  • 디지털 논리 게이트(band,bnor,bnand,bnor,1비트 전가산기) 스위치 모델 베릴로그 표현
    소개글Verilog HDL을 이용한 디지털 논리 회로 게이트(band,bor,bnand,bnor,1비트 전가산기)를 Transistor Level모델을 이용하여 기능 구현을 코딩하고
    리포트 | 13페이지 | 3,000원 | 등록일 2012.07.28
  • [ASIC] VHDL, Verilog, SystemVerilog의 비교
    또한 강력한 타이핑은 어떠한 데이터 타입에서 다른 타입으로 변환하는데 부가적인 코딩을 요구한다. ... 하지만 만약 코딩 요건을 성실히 이행하지 않을 경우 race conditions로 끝날 가능성이 있고, 실제로 종종 그렇기도 하다. ... Verilog는 C 언어 그리고 Hilo 라고 불리는 초기 HDL로부터 유래하였음을 추측가능하다.Verilog의 모든 데이터 타입은 언어 내에서 미리 정의된다.
    리포트 | 7페이지 | 1,500원 | 등록일 2008.04.03
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    또한 열거형으로 정의된 경우 인 코딩(encoding) 방법을 지정할 수 있다. 인 코딩 방법으로는 2진 코드에 의한 방법(binary)과 One-Hot Encoding이 있다. ... (V)HDL이란? ... 즉, VHDL코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 관점 시뮬레이션
    리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • 디지털 논리 실험, 멀티플렉서와 디멀티플렉서, 인코더, 디코더 예비 보고서
    Verilog HDL Code1) 1. 4×1 MUX의 Verilog HDL 코드module MUX_4_TO_1 ( I0, I1, I2, I3, Y, S );input I0, I1, ... 일반적으로 n-비트 디코너는 n개의 입력과 n-비트 조합을 나타내는 하나이상 최대 개의 출력을 갖는다. n-비트 디코딩이 된 정보를 사용하지 않거나, 또는 무상관의 조합을 가진다면
    리포트 | 5페이지 | 1,500원 | 등록일 2009.07.18
  • 디지털 논리 실험, Half adder와 Full adder 실험 결과 보고서
    코딩하여 결과를 확인해보았다. ... ******10111010001101101101011111실험 6에서는 Half Adder와 Full Adder의 원리를 공부하고 그 원리를 토대로 회로를 구성하고 Verilog HDL
    리포트 | 4페이지 | 1,500원 | 등록일 2009.07.18
  • 디지털 논리 실험, 산술 논리 회로 실험 예비 보고서
    , 입력신호 a, b 중 하나라도 참이면 조건문을 실행.// 제어신호 ctrl_s의 값에 따라 16가지의 연산을 수행 가능.// 그에 따른 총 16가지의 연산을 표 2를 참조하여 코딩.always ... Verilog HDL 코드 분석// 모듈 설정.module ALU(en, ctrl_s, in_a, in_b, out_f);// 입출력 신호 배정과 할당.input en;input [ ... 예비보고사항(1) ALU를 Behavioral Model로 Verilog HDL을 사용하여 구현하시오.- 표 2의 제어신호에 따른 연산을 참조하여 그림 11-3에 있는 코드 예제에
    리포트 | 5페이지 | 1,500원 | 등록일 2009.07.18
  • VHDL을 사용한 시계
    MAX+PULS II 를 사용하여 실질적인 코딩작업에 들어간다.? ... 계층적 설계 : 가장 아래 단계인 MOD-6 카운터와 MOD-10 카운터를 만드는 것으로부터 실질적인 코딩작업이 시작된다. 이로부터것이다.3. ... Synthesis and Testing: 각 모듈의 합성과 테스트각 하위 시스템은 가장 간다한 레벨에서 시작되어야 하고, HDL을 사용하여 디지털 시스템을 설계하는 것은 몇 줄의 코드를
    리포트 | 13페이지 | 1,000원 | 등록일 2008.12.31
  • Excess 3 to BCD code converter ( Excess-3-to-BCD code converter )
    combinational circuit)개요본 실습에서는 Excess-3 code를 BCD로 변환하는 조합회로를 설계하는 실습으로, schematic diagram 을 그려서 또 하드웨어를 HDL ... 함endcaseendfunctionendmodule< Verilog coding for Ex 3 to BCD >분석:Input 4bit를 입력 받은 후 Case문을 이용하여 먼저 BCD를 표현하기 위한 LED를 코딩하였다
    리포트 | 16페이지 | 3,500원 | 등록일 2011.04.22
  • 연세대 전기전자 기초실험 09년도 레포트 결과 8 Basic Logic Circuit Design
    토의 사항처음으로 Max plus 라는 프로그램을 써서 코딩을 해보았는데, 지금껏 다뤄오던 언어체계와 다른 면들이 있어 헷갈리는 점이 적잖게 있었다. ... Next is 8x1 multiplexer verilog HDL code.module MUX_4_TO_1 (I0,I1,I2,I3,Y,S);inputI0,I1,I2,I3;input[1
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.17
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    코딩과정은 Workspace에서 decoder.vhd 탭을 클릭한 뒤 입력하도록 한다.(10) 코딩입력은 decoder. ... 즉 VHDL 코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인(functional) 관점을 시뮬레이션하기 위한 Synthesis와 실제 시간적인 ... Project Summary화면이 Finish를 클릭한다.(9) 이렇게 되면 일차적인 세팅 과정은 끝이 나고 코딩에 관한 부분들만 남게 된다.
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • PreLab> Usage of XilinxISE on Spartan-3 프리렙 스파르탄 3 보드에서 자일링스 사용법
    다음 Assign Package pins에서의 pin을 할당 시켜준다.또한 이외에도 HDL editor를 이용해 입출력 신호의 Pin location을 할당 할 수도 있다.마지막으로 ... 코드 작성Behavioral simulation(Functional simulation) 과 Timing simulation를 얻기 위해서 Test bench를 수행하여 VHDL 코딩을 ... 없는지 Behavioral simulation(Functional simulation) 과 Timing simulation 값을 얻기 전에 수행해준다.만약에 오류가 생긴다면 VHDL 코딩
    리포트 | 18페이지 | 2,000원 | 등록일 2009.01.02
  • [컴퓨터구조 및 VHDL][Quartus 2,max,verilog]verilog_hdl을 이용한 Single_Cylce_Mips설계 및 분석..
    Verilog_HDL을 이용한 코딩중 Single_Cycle_MIPs에 관한 내용으로써ALU와 MUX등을 통합한 소스 코딩입니다.본문내용중..모든 모듈들을 통합하는 TOP module
    리포트 | 49페이지 | 2,500원 | 등록일 2005.10.05
  • 연세대 전기전자 기초실험 8. 조합 회로 설계 실험 (결과보고서)
    앞으로 실험 과정에서 verilog HDL을 계속 사용하는 만큼 어느 정도의 숙달이 필요하다고 생각된다. ... 코딩부분에서 핵심 부분은 각 부분(a부터 g까지 7부분)에 있는 LED들을 입력된 2진수에 맞게 ON/OFF 하는 것이었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.12.30
  • [전기전자기초실험] 연산 회로 설계 실험 예비보고서
    이 두 개의 캐리가 서로 다를 경우 오버플로우가 발생하게 된다.③ 4비트 ALU를 verilog HDL로 동작수준에서 구현module ALU(en, ctrl_s, a, b, out_f ... 이 구조는 가능한 한 명령어의 길이를 줄여서 명령어의 디코딩 속도를 높이고 최소의 메모리 구조를 갖도록 하기 위해서 정해진 것으로, 하나의 프로세서가 일련의 명령어를 순차적으로 처리하기에
    리포트 | 4페이지 | 1,000원 | 등록일 2009.07.29
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 사용법 Post lab)
    즉 VHDL 코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인(functional) 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 ... 하지만, 그것도 가상공간에서나 벌어지는 것을 코딩하는 것이었다. 하지만, VHDL이란 것을 사용하며 하드웨어적으로 동작하게 만드는 것을 해본 소감은 정말 신기하기만 할 뿐이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2008.09.28
  • 제 9장 (예비) 연산 회로 설계 실험
    다음은 몇몇 산술 연산과 논리 연산 동작을 함수 형태로 기술하고 이를 package 로 만든 verilog HDL코드의 예이다. ... 이 구조는 가능한 한 명령어의 길이를 줄여서 명령어의 디코딩(decoding, 해석) 속도를 높이고 최소의 메모리 구조를 갖도록 하기 위해서 정해진 것으로, 하나의 프로세서가 일련의 ... 이를 참고하여 verilog HDL 로 본 실험에 사용되는 4비트 ALU를 동작수준에서 구현하시오.module ALU(en, ctrl_s, a, b, out_f);inputen;input
    리포트 | 5페이지 | 1,500원 | 등록일 2007.10.29
  • FPGA를 이용한 4비트 ALU구현 및 검증 예비
    즉, 어떠한 언어(VHDL, AHDL, HDL)나 스케메틱(심볼을 사용한 설계) 툴을 사용하여 프로그램을 작성한 후 FPGA 칩에 다운로딩하여 동작할 수 있는 디바이스를 말하며, 기존의 ... 프로세서는 이미 하드웨어가 설계된 상태에서 규정된 명령어에 의해 프로그램을 작성하는데 반해, FPGA 디바이스는 프로세서 코어 자체(하드웨어)를 설계한후 이에 해당되는 각각의 명령어를 코딩하여
    리포트 | 1페이지 | 1,000원 | 등록일 2004.03.21 | 수정일 2014.08.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:15 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대