• 통큰쿠폰이벤트-통합
  • 통합검색(122)
  • 리포트(110)
  • 자기소개서(11)
  • 시험자료(1)

"HDL코딩" 검색결과 61-80 / 122건

  • verilog HDL을 이용한 LED주사위 설계 피피티 (자판기 or 신호등)
    또한 간단한 동작원리로 동작하는 주사위 값을 LED 로 표현하는 회로를 Verilog HDL 언어를 이용하여 직접 코딩하고 , Verilog HDL 언어를 조금 더 숙련되게 사용하고자 ... 주사위 설계 프로젝트를 통하여 팀원들 간에 Verilog HDL 언어를 이용한 코딩 기술에 대해서 좋은 정보를 함께 공유해본다 . ... 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 1 0 0 1 1 0 1 1 0 0 1 1 1 1 1 1 1 1 1 0 1 1설계결과 SCHEMATIC설계결과 Verilog HDL설계결과
    리포트 | 14페이지 | 1,500원 | 등록일 2013.07.16
  • 전자전기컴퓨터설계실험2(전전설2)6주차결과
    실험결과 (Results)1. 4비트 SIPO BCD TO EXCESS-3코딩(text)//4bit-SIPOmodule sipo(d,q,e,clk,clrn);input d,clk,clrn ... HDL의 문법을 이용하여 원하는 게이트를 구현하기 위해서 project 파일을 HDL로 설정하여 생성한다.2. text file로 생성Project 파일과 마찬가지로 원하는 게이트를 ... HDL project를 생성새 프로젝트를 생성할 때 프로젝트의 종류를 설정해주어야 한다.
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • Lab#08 Application Design1
    아래의 시뮬레이션 결과도 코딩값과 일치한다.Verilog codeTest BenchFuctional Simulation나. Prelab2. ... (Top level Source : HDL)나) Verilog 소스 작성 후 프로젝트에 추가, 컴파일을 수행한다.다) 핀을 설정한다.(.UCF 파일 작성)라) TB파일 소스작성 후 ... (Top level Source : HDL)나) Verilog소스 작성 후 프로젝트에 추가, 컴파일을 수행한다.다) 핀을 설정한다.(.UCF 파일 작성)라) TB파일 소스 작성 후
    리포트 | 30페이지 | 1,500원 | 등록일 2016.09.11
  • VHDL에 관하여
    HDL을 통해 회로를 설계하는 방식을 하향식(top-down)설계 방식이라 한다.(1) 하드웨어 기술 언어 HDL하드웨어 기술 언어 즉 HDL(Hardware Description ... 코딩상의 큰 차이점이라 할 수드 형식인 RTL 코드로 변 환시켜 준다. 이것이 가장 일반적인 코딩의 형태이다.그림에서 보듯이 추상화의 단계에는 크게 5계층이 존재한다. ... 따라서 알고리즘이나 기능레벨에서 설계가 가능하도록 하는 HDL이 출현하게 되었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.01.24
  • 반도체별 동향
    시스템반도체의 복잡해진 기능을 게이트 레벨로 설계하는 데 한계를 느끼게 되어, 이를 해결하기 위해 컴퓨터를 활용한 EDA(Electronic Design Automation) 툴과 HDL ... , Memory, ADC 등의 하드웨어 IP 또는 코드를 ISP(IP Service Provider)를 통해 도입하고 설계자는 이를 자신의 설계에 포함하고, 일부 특화된 기능만을 코딩하는
    리포트 | 20페이지 | 3,000원 | 등록일 2018.05.30
  • HDL을 사용한 디지털 클럭 코드
    따라서 Verilog HDL의 설계 첫 번째 목표는 클럭 변화에 따른 시간이 증가하는 코딩을 목표로 한다. ... ·시간이 증가하는 코딩이 완료되면, 각 조건(alarm, stopwatch)에 맞춰, 알람이 울리거나 시 간이 멈추는 등의 코딩을 추가한다. ... (1) 프로젝트 목표-디지털 시스템인 디지털 시계, 알람기, 스톱워치를 각각의 특성을 바르게 이해하고 Verilog HDL을 사용하여 설계한다.(2) 프로젝트 내용-디지털 시계, 알람기
    리포트 | 20페이지 | 2,500원 | 등록일 2013.01.20
  • [디지털시스템실험(Verilog)] Verilog 기본 실습 예비보고서
    위한 기본 문법을 익힌다.② 코딩한 Verilog의 시뮬레이션을 위해 HDL 시뮬레이터인 ModelSim의 사용 방법을 익힌다.③ Full adder를 Verilog로 구현하고 모듈화하여 ... , 32-bit adder를 시뮬레이션해본다.실험준비물ModelSim(HDL Simulator)기본지식① Verilog HDL(Verilog Hardware Description Language ... 시뮬레이션 툴로, Verilog뿐만 아니라 여러 종류의 HDL의 시뮬레이션이 가능하다.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • FPGA를 이용한 디지털 시스템 설계(인하대) MUX, Decoder, Comparator 보고서
    하지만 그 경우 4bit에서는 수없이 많은 게이트들이 사용되어 복잡하게 된다.Verilog HDL의 경우, 조건문 사용이 가능하기에 조건문을 사용하여 코드를 작성하였다.입력은 각각 ... 실험과정 및 소스코드이번 실험에서는 총 4가지의 모듈을 설계하고 시뮬레이션하여 결과를 확인한다.코딩을 하기에 앞서 각 모듈의 기능을 자세히 알아본다.@1. 4-to-1 MUX 코딩이번 ... 기본개념을 그림으로 나타내면 아래와 같다.여기서 4개의 입력은 I0, I1, I2, I3 이고선택 제어 입력은 A와 B가 된다.A와 B의 값에 따라 단일 출력 Z의 값이 정해지게 된다.코딩
    리포트 | 18페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 디지털 설계언어 베릴로그
    DATA를 보면 clock이 하강 edge 일 때, reset이 1인 경우, x_in이 0인 경우 결과 값이 발생한다. ②synchronously setlabel D flipflop을 HDL로 ... 했을 때 assignment must have a net type이라는 에러가 발생output reg[1:0] y_out 을 output y_out으로 변경함코딩과 결과물 clock이 ... 가능한 D flipflop을 코딩하라(reset우선 둘다 active_low)비동기식으로 set과 reset이 가능한 D flipflopclk, rst가 하강엣지(negedge)일
    리포트 | 3페이지 | 1,500원 | 등록일 2011.12.07
  • C 기반 ALU 설계. 컴퓨터구조 ALU 설계 과제
    컴퓨터구조 설계 : VHDL 코딩을 중심으로 / 이강현 / 대영사 컴퓨터 구조와 설계 / Pollard, L. ... 최소의 지연시간 Modelsim 으로 확인하려 했지만 , 에로사항 ( 소스 에러 ) 으로 실패참고문헌 ( Verilog_HDL 을 이용한 ) FPGA 설계 이론 및 실습 : Xilinx ... Vertex4 SoC Master3 / 송태훈 / 홍릉과학 ( Altera Quartus 2 와 Modelsim 을 사용한 ) Verilog HDL 논리회로 설계 / 이승호 / 한티미디어
    리포트 | 33페이지 | 3,500원 | 등록일 2013.01.28
  • 스위치 모델 dff 회로 설계
    이용하여 코딩 되었으며 모델심을 이용하여그 기능을 확인하였다. ... 스위치레벨모델 dff 설계소개글Verilog HDL을 이용한 디지털 논리 회로입력 신호:clk,d출력 신호:q,qn가용 트랜지스터:8개Pmos와 Nmos Transistor를 이용한 ... Q와 Qn이 상보관계를 유지하도록 설계한다.최소한의 mos 트랜지스터를 사용하도록 설계한다.위의 동작을 확인하기 위한 테스트 벤치 파일과 그 출력 파형상기한 내용으로 Verilog HDL
    리포트 | 5페이지 | 5,000원 | 등록일 2012.07.31
  • 비동기 제어 신호 set을 갖는 dff 회로 스위치 모델 설계
    이용하여 코딩 되었으며 모델심을 이용하여그 기능을 확인하였다. ... 스위치레벨모델 dff with async set 설계소개글Verilog HDL을 이용한 디지털 논리 회로입력 신호:clk,d출력 신호:q,qn가용 트랜지스터:6개Pmos와 Nmos ... Transistor를 이용한 Switch 모델회로 설계최소한의 mos 트랜지스터를 사용하도록 설계한다.위의 동작을 확인하기 위한 테스트 벤치 파일과 그 출력 파형상기한 내용으로 Verilog HDL
    리포트 | 5페이지 | 3,000원 | 등록일 2012.08.25
  • 비동기 제어 신호 set을 갖는 dff 프리미티브 회로 설계
    이용하여 코딩 되었으며 모델심을 이용하여그 기능을 확인하였다. ... 스위치레벨모델 dff with async set 설계소개글Verilog HDL을 이용한 디지털 논리 회로입력 신호:clk,d출력 신호:q,qn가용 트랜지스터:6개Pmos와 Nmos ... Transistor를 이용한 Switch 모델회로 설계최소한의 mos 트랜지스터를 사용하도록 설계한다.위의 동작을 확인하기 위한 테스트 벤치 파일과 그 출력 파형상기한 내용으로 Verilog HDL
    리포트 | 5페이지 | 50,000원 | 등록일 2012.08.26
  • [디지털시스템실험(Verilog)] 32-bit Adder-Substracter 예비보고서
    bit Subtracter를 설계한다.③ 32-bit Adder-Subtracter를 설계한다.④ 32-bit Carry Select Adder를 설계한다.실험준비물ModelSim(HDL ... 다른 방법으로도 2의 보수를 얻을 수 있으나, 이번 실험에서는 이 방법이 사용된다.② 32-bit 2′s complement unit의 실제 코딩실험에 대비하여 직접 코딩해 보았다.코딩 ... 직접 코딩해 보았다.코딩 소스는 왼쪽과 같다.input A는 피감수(minuend), B는 감수(subtrahend)이다.
    리포트 | 2페이지 | 1,500원 | 등록일 2011.10.05
  • 실험2 제06주 Lab04 Post Comparator
    Conclusion이번 실험은 그 동안 배운 VHDL의 사용법을 숙달시키고 2가지 Modeling 방법으로 코딩하는 법을 숙달시키는 실험이었다. ... Introduction1) Purpose of this LabVerilog HDL의 사용방법을 숙지하고 이를 이용하여 직접 논리회로를 설계, 제작할 수 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • VHDL을 이용한 VGA Pattern Generator 설계
    데이터가 아닌 구간만을 좁혀 숨어있는 1인치라는 식의 광고로 제품을 내기도 했다.⑦ 회로, 포트왼쪽과 같이 구성되어있는데 저 케이블을 DE2와 디스플레이(=모니터)에 연결한 후 HDL을 ... 어둡고 오른쪽은 밝은 그라데이션이 적용된 4색가로줄 출력을 확인할 수있다.④ State Machine⑴ SchematicSchematic으로 구성한 모습인데 각 소자는 VHDL코딩후 ... 그에 따른 출력 RGB를 지정해주도록 코딩되어있다.⑵ VHDL먼저 VGA_State1의 소자의 코드이다.라이브러리와 입출력포트를 지정해주고21줄~23줄 내부변수를 선언해준다.26~33줄
    리포트 | 16페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 디지털 논리회로 Verilog HDL 을 이용하여 RLC 주사위 게임 (RLC DICE GAME) 설계
    게임기의 설계 사양과 옵션 사항 들을 Verilog HDL을 이용하여 코딩해보고 결과를 Modelsim 프로그램을 통해 검증해본다.2.
    리포트 | 25페이지 | 3,500원 | 등록일 2014.03.15
  • [디지털시스템실험(Verilog)] Data Mapping Unit, Execution Combination Top 예비보고서
    Calculation Unit, Branch Handler,Address Generator를 합쳐 Execution Combination Top을 구현한다.실험준비물ModelSim(HDL ... Simulator)기본지식① Data Mapping UnitArithmetic logical unit에 사용되는 data의 순서를 재배치하는 모듈이다.실험에 대비하여 모듈을 코딩해보았다.ctrl ... Arithmetic Logical Unit, PC Calculation Unit, Branch Handler, Address Generator를 합친 모듈이다.실험에 대비하여 모듈을 코딩해보았다.정확한
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • 전자전기컴퓨터설계실험2(전전설2)9주차예비
    실험의 목적(Purpose of this Lab)Xilinx 프로그램으로 HDL 중 하나인 verilog를 사용하여 combo의 LCD를 사용한다.2. ... HB-LCD코딩(text)module hb_lcd(resetn, clk,lcd_e, lcd_rs, lcd_rw, lcd_data);input resetn, clk;output lcd_e
    리포트 | 28페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 게이트 레벨 혹은 스위치 모델 dff (데이터 플립플롭)
    이용한 Switch 모델회로 설계위의 동작을 확인하기 위한 테스트 벤치 파일과 그 출력 파형상기한 내용으로 Verilog HDL을 이용하여 코딩 되었으며 모델심을 이용하여그 기능을 ... 게이트(혹은 스위치) 레벨 dff소개글Verilog HDL을 이용한 디지털 논리 회로 dff회로를Nand Gate를 이용한 게이트 레벨 회로 설계Pmos와 Nmos Transistor를
    리포트 | 9페이지 | 5,000원 | 등록일 2012.07.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대