• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd 코드" 검색결과 81-100 / 986건

  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    토의실험 1에서는 code converter를 구현하였다. ... [그림 9][그림 10]입력에는 BCD 코드가 들어가며 DIP_SW[3] ~ DIP_SW[0]을 이용하여 입력을 조정하 였다. ... 한편, 실험에서는 입 력의 BCD 코드로 0000 ~ 1001만 사용했으나 74LS47의 데이터 시트에는 입력이 1010 ~ 1111일 때의 출력도 나타나 있었다.실험 4에서는 priority
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 인코더와 디코더 회로 예비
    BCD 숫자에 대해 4개의 입력과 세그먼트를 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력(a, b, c, d, e, f, g)은 오른쪽의 표와 같고 이는 7 ... 수가 있지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다.예비과제BCD to 7-Segment 디코더에 대해 설명하라BCD to-7 segment 디코더는 BCD에서 ... 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력(a, b, c, d, e, f, g)은 다음 표와 같고 이는 7-세그먼트의 입력이 된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.21
  • 디지털회로실험 인코더와 디코더 결과보고서
    이 아홉 개의 입력을 차례로 하나씩 (-)에서 (+)로 연결(나머지는 전부 (-)에 연결)하면 a,b,c,d의 led에 올바른 bcd코드가 출력된다. ... 왼쪽의 공급전압 +5V는 트랜지스터로 들어가기 전 10k옴을 거쳐야 하고, 출력 a,b,c,d로 나가기 전 330옴을 거쳐야 하기 때문에 각각의 위치에 저항을 연결했다.실험 2는 bcd코드를 ... 출력으로 나오는 a,b,c,d는 10진수/bcd 인코더 회로에서{bar{Y0}}~{bar{Y3}}이다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.04.16
  • 논리회로실험 예비보고서8
    and co-workers in their professional development and to support them in following this code of ethics ... ·카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... F/F을 이용한 counter는 최하위 bit부터 채워지는 2진 counter를 기본 바탕으로 하며 실험에서는 F/F을 이용한 counter와 BCD counter를 이용한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 충북대 기초회로실험 인코더와 디코더 회로 예비
    입력코드의 수는 일반적으로 출력코드보다 적은 수를 가진다. ... 같은 코드로 변환시키는 조합회로이다. ... bar{A} B bar{C} `+A bar{B} bar{C}.BCD-7 세그먼트 디코더의 진리표입력 (BCD)출력 (Display)A1A2A3A4abcdefg00001111110000101100000010110110100111111001010001100110101101101101101011111011111100001000111111110011111011BCD
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 광운대학교 전기공학실험 M3. 숫자표시기(7-SEGMENT LED) 응용 예비레포트 [참고용]
    숫자표시기(7-Segment LED): 2진 BCD코드를 10진수로 표시하는 장치이며 8개의 발광 다이오드로 구성되어있다. ... BCD 코드를 적용한 디지털 회로는 4비트 연산시 10~15항에 대해서는 무관항 취급을 하여 진행한다.3-4. ... 도통 전압강하는 2V정도이며, 저항 양단의 전압은 5V로 잡았을 때, (옴의법칙)에 따라 적정 저항은 270옴이 된다.3-1-3. 7-segment decoder/driver: BCD코드
    리포트 | 7페이지 | 1,500원 | 등록일 2024.01.02
  • [A+]중앙대 아날로그및디지털회로설계 실습 예비보고서 7 논리함수와 게이트
    N개의 입력에 대해 출력은 2^N까지 가능하다.- 인코더 : 입력으로 10진수나 8진수가 들어가면, 출력으로 2진이나 BCD와 같은 코드로 변환 되어 나온다. ... 즉 기호나 알파벳 또는 숫자를 코드화하는 것이 인코딩이다. (즉, 입력 신호를 컴퓨터 내부에서 사용되는 코드로 변환시켜주는 것이다.) ... (해 독기와 같은 역할을 수행한다.)예를 들어 연산회로로부터 나오는 BCD부호를 발광 다이오드를 이요한 10개의 수치로 나타 내는 수치 디스플레이가 있다.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.09.08 | 수정일 2022.09.16
  • 시립대 전전설2 Velilog 결과리포트 5주차
    같은 진리표를 얻을 수 있다.논리회로논리식Q = S1’S2’A + S1’S2B + S1S2’C + S1S2D4) BCD Code (8421 코드)BCD = Binary-Coded ... 코드에서 3 초과코드를 사용하는 이유는 BCD코드에서는 음수를 2의 보수로 표현하게 되어 음수로 값이 나오면 그 값을 다시 보수를 취해주어서 해석해야 한다는 단점이 있지만 3초과코드를 ... 되어서 번거로운 점이 있다.특징 : 가중치 코드< BCD코드의 표현 방법 >5) Excess-3 Code ( 3초과코드 )부호화 10진법 (수 표시법의 일종으로, 10진수를 부호화하여
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 디지털 논리회로(생능출판, 김종현) 2단원 정리
    가지지 않는 비가중치 코드(non-weighted code)ex) 82610 = (1011 0101 1001)excess-3(5) 존슨 코드(Johnson code)- 다른 코드들과는 ... 수행할 수는 없지만, 전자회로의 설계가 용이해진다는 장점을 가지고 있음*자기-보수 코드(self-complement code)- 어떤 10진수를 d라고 할 때, 그에 대한 9의 보수는 ... 2-1코드- 우측의 두 비트가 음의 자릿수를 가지는 가중치 코드 방식- 비트들은 좌측부터 각각 8, 4, -2, -1의 자릿수를 가짐(4) 3증수 코드(excess-3 code; 3
    시험자료 | 16페이지 | 3,000원 | 등록일 2020.11.09
  • 논리회로의 간소화, 멀티플렉서를 이용한 조합논리 예비레포트
    레지스터 A에는 유효한 BCD 코드만이 존재하도록 하고 무효 BCD 코드가 감지되면 경고 신호가 나온다. ... BCD는 0에서 9까지의 십진수를 표현하는 4비트 2진 코드이다. 그러므로 2진수 1010에서 1111까지는 무효한 BCD 코드이다.(1). ... 실험 방법1) 논리회로의 간소화- 무효 BCD-코드 감지기그림 8-3은 실험 3 그림 3-1의 시스템에 무효 BCD-코드 감지기를 추가한 알약 계수 제어 시스템을 보여주고 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 디지털시스템실험 3주차 예비보고서
    같이 Binary를 BCD로 변환 하려면 10 이상이 BCD 코드의 0000이 되어야 한다. ... 그러나, 3-to-8 디코더는 3비트 코드를 해독하여 코드의 각 구성 성분마다 하나씩, 전부 8개의 출력을 제공하는데 사용할 수 있다.② Binary-to-BCD Converter표2과 ... 디코더란 이름은 BCD-to-7segment 디코더와 같은 코드 변환기와 결합되어 사용되기도 한다.예를 들어 3-to-8 디코더를 생각해 보자. 3개의 입력은 8개의 출력으로 해독되어지며
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    이때 디코더를 이용하여 BCD코드를 활용하고 여러 가지 디코더를 활용한 설계를 진행하여 숫자표시기-디코더 조합의 사용법을 완벽하게 익힌다.3. ... 여기서 구성된 회로의 입력에 0000~1111을 인가하여 숫자표시기에 나타나는 표시를 확인한 것.BCD코드 입력(DCBA)7 세그먼트 LED 표시예상측정오차000000X000111X001022X001133X010044X010155X011066X011177X100088X100199X1010 ... 하지만 Gate cost를 낮추는 것에 중점을 둔 설계에 적합지 못하여 제외했다.더해서 7447 디코더에 의해 숫자표시기에 표시된 6, 9는 꼬리가 나타나지 않았으며 디코더 스펙과
    리포트 | 6페이지 | 1,500원 | 등록일 2024.01.02
  • [디지털공학 실험] 멀티플렉서를 이용한 조합논리
    레지스터A에는 유효한 BCD 코드만이 존재하도록 하고 무효 BCD 코드가 감지되면 경고 신호가 나온다. ... 그러므로 2진수 1010에서 1111까지는 무효한 BCD 코드이다.(1) 실험보고서의 표 8-2 진리표를 완성하라. 10개의 유효한 BCD코드에 대한 출력은 0이고 6개의 무효한 코드에 ... 실험 방법무효 BCD-코드 감지기그림 8-3은 실험 3 그림 3-1의 시스템에 무효 BCD-코드 감지기를 추가한 알약 계수 제어 시스템을 보여주고 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • Term_Project_보고서_1조
    .3)출력덧셈의 마지막출력이 bcd코드이고 이 값을 7segment로 보여줘야 하는데 7segment는 bcd코드로 입력을 받는다. ... 처음 회로를 설계 하였을 때는 두 번째 일의자리 bcd adder 중 두 번째 full adder에서 나온 c4가 십의자리 c0에 들어가게 설계를 하였지만 8+8이나 9+9는 보상회로를 ... 출력을 세븐 세그먼트의 입력으로 연결해주기 위해 bcd 코드를 7- segment 입력으로 넣어주는 기능을 하는 소자인 7447(Bcd to 7-segment)를 사용하여 정상적인
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 디지털 시스템 설계 및 실습 n비트 가감산기 설계 verilog
    코드1) BCD.vmodule BCD(a,b,C_in,sum,C_out);input [3:0] a,b;input C_in;output [3:0] sum;output C_out;reg ... 실습목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... tb_BCD.vmodule tb_BCD;reg [3:0] a;reg [3:0] b;reg C_in;wire [3:0] sum;wire C_out;BCD tb(.a(a), .b(b),
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 8주차 예비 - 인코더와 디코더 회로
    모든 입력 데이터의 디코더 맵은 disabled되어 단일상태의 출력이 얻어진다.(2) 인코더(Encoder) : 인코더는 입력으로 10진식이나 8진식의 정보를 받아들여 2진식이나 BCD코드로 ... BCD-7 세그먼트 디코더는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다. ... 그리고 회로를 설계하는 입장에서는 디코더라 칭할수 있지만 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 실험4. 인코더와 디코더 결과보고서
    [표 4-4]BCD코드10진수DCBA000000001100102001130100401015011060111710008100193.실험 결과 및 고찰실험과정 5.4를 진행중 C,B만 ... [표 4-3]10진수BCD코드DCBA000*************00114010050101601107011181000910012.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.05.26
  • 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    사용된 핵심 부품BCD코드 로터리 스위치7 세그먼트 장치74LS47 ICBCD코드 로터리 스위치 : 스위치를 통해 표시된 숫자에 해당하는 BCD코드를 발생시킨다. ... 이 코드를 통해 7세그먼트에 해당하는 값의 숫자를 나타낼 수 있음.74LS47 IC : 핀으로 입력받은 BCD 값에 따라 a~g 핀 중 특정 핀에만 전류를 흐르게 하여 해당하는 segment에만 ... 7개의 획으로 숫자나 문자를 나타낼 수 있는 표시장치로 FND라고도 불립니다.8개의 LED로 구성되어 있으며 각각의 LED는 a,b,c,d,e,f,g,dp로 명시되어 있습니다.- 실습에
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • 결과보고서(5 복호기부호기)
    계속하여 출력되는 BCD 코드들은 모두 입력이 끝날 때까지 저장 소자로 보내 저장한다.▲ 그림 2 키보드 인코더(c) 2-to-4 복호기 + 4-to-2 부호기회 로 도결 과 값입력출력ABD ... (d)는 binary-to-BCD 부호기를 구성하고, 입력 A, B, C, D를 표와 같이 변화시키면서 10자리와 1자리의 수를 읽어서 기록했다. ... 키들 중 하나를 누르면 키가 나타내는 숫자는 이에 대응되는 BCD 코드로 변환된다. 그림은 간단한 키보드 인코더이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2020.10.14
  • ARINC429 항공전자전기기계 레포트 A+
    기능 코드(10)- 기능 테스트 결과가 포함된 단어 데이터를 나타냅니다.? 비트 29는 BNR 워드 형식에서 선택 사항입니다.BCD? ... BCD에 대한 부호 기능 사용은 선택 사항입니다.? NO 계산 데이터 코드(01)는 신뢰할 수 있는 데이터를 생성할 수 없는 소스 시스템을 식별하는 데 사용됩니다. ... 사용하는 Data 양식의 종류에는 BCD와 BNR, 그리고 이를 혼합해서 사용하는 Discrete Data Format가 있다.BNR, BCD, Discrete의 차이점BNR?
    리포트 | 5페이지 | 19,900원 | 등록일 2021.12.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:39 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대