• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd 코드" 검색결과 281-300 / 986건

  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 결과
    고찰1) 8421 code를 encoding 하는 회로를 그리시오.입 력출 력10진수8421 코드ABCDD000000D110001D220010D330011D440100D550101D660110D770111D881000D9910012 ... 오차는 브레드보드 내부의 저항과, 실험에 쓰인 게이트 내부를 통과하는 과정에서도 저항이 존재해 오차가 발생했다고 생각한다.실험 2) 실험 2.의 결과를 기입하라.회로도 구성입력 (BCD ... 9를 표시하기 위해 ABCD 입력을 결정하라.입력 (BCD)출력(Display)A3A2A1A0abcdefg*************0101100000010110110100111111001010001100110101101101101101011111011111100001000111111110011111011
    리포트 | 4페이지 | 1,500원 | 등록일 2017.04.02
  • 아주대 논리회로실험 실험결과7 복호기와 부호기 (Decoder & Encoder)
    이번 실험에서는 십진수를 단순히 BCD의 2진수가아닌 BCD에 더 업그레이드된 논리회로 시간에 배운 excess 3 code로 인코딩 하는회로를 구성해서 동작을 확인해 보았다. ... excess 3 code로 인코딩 시키는 실험을 했다. ... 인코더와 디코더 실험중 Decimal-to-excess 3 encoder 실험으로 우리가 일상 에서 사용하는 10진수를 회로설계와 논리회로에서 유용하게 사용하는 excess 3 code
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • Binary code분석
    또한 그레이 코드는 비가중치 코드(unweighted code)로서 일명 교번 코드라고도 한다. ... Gray CodeGray Code는 현 상태에서 다음 상태로 코드의 그룹들이 변화할 때 단지 하나의 비트만이 변화되는 최소변화코드(minimum-change code)의 일종이다. ... BCD CodeBCD(binary coded decimal) 코드는 10진 숫자를 표시하는 2진 코드로서 10진 숫자 한 자리마다 그에 대응된 2진수 4 비트씩을 2진 코드로 사용한다
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.16
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험13) 시프트 레지스터와 카운터의 설계
    코드? 시뮬레이션5③ BCD (Binary-Coded Decimal) 카운터? 코드? 시뮬레이션5④ 모듈로-N (Modulo-N) 카운터? 코드? ... 코드? 시뮬레이션? ... 코드? 시뮬레이션5?
    리포트 | 9페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 디지털시스템 실험(SR Latch, JK, D FF, Register, Shift Register, Register를 이용한 가산기)
    앞서 작성한 Register code를 이용하여 0~9의 한자릿수 덧셈, 뺄셈을 하는 계산기를 작성하였다.9. ... 처음에는 Register간의 데이터가 shift 된다는 것이 어떻게 이루어질지 몰라서 고민을 많이 했는데, coding을 완성하고 나서 생각해보니 clock자체가 input들을 or ... 그리고 D Flip-flop을 이용하여 클락에 따라 저장할 수 있는 Register code를 짰다.7. Shift Register의 Verilog Code이다8.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.03
  • 인코더와 디코더 예비
    인코더는 10기의 10진수 입력을 대응하는 BCD 코드로 변환시키는 논리 회로이다.10진수BCD CodeD C B A01234567890 0 0 00 0 0 10 0 1 00 0 ... {bar{C}}BULLET barB BULLET BARABCD/10진수 디코더는 앞의 10진수/BCD 인코더와 반대로 BCD 코드의 2진 값이 입력되면, 그에 대응되는 10진수 숫자 ... 예를 들어, 10진수나 8진수를 입력으로 받아들여 2진수나 BCD와 같은 코드로 변환해 주는 조합논리회로이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2017.10.23 | 수정일 2020.11.26
  • 7.복호기와 부호기[예비]
    따라서 그림 7의 회로는 Excess-3 code를 만들게 된다.4. 2단 2진 카운터의 출력을 다음 코드로 인코딩할 수 있는 회로를 그려라.Normal Count (BA)Encoded ... 나타낸 값과 다르게 출력되는데, 이 출력값들은 기존 BCD코드에 3(0011)을 더한 값임을 쉽게 알 수 있다. ... **01000001000001200100001010010300010001100011400001001110100500000110000101☞ 위 회로의 출력은 십진수 0~5의 값을 BCD코드
    리포트 | 4페이지 | 1,000원 | 등록일 2011.07.05
  • 디지털로직실험/최신 디지털 공학 실험3 수체계
    수체계실험목표2진수 또는 BCD(binary coded decimal)수를 10진수로 변환.bcd 수를 디코딩하고 7-세그먼트로 표시해주는 디지털 시스템 구성.모의실험용으로 결함을 ... 이 문제.실험3 보고서이름 : 날짜 : 조:실험목표2진수 또는 BCD(binary coded decimal) 수를 10진수로 변환.BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 ... 이렇든 2진수와 BCD는 같지 않고 다른 개념이다.결과 및 결론이번 실험의 목표는 2진수 또는 BCD(binary coded decimal) 수를 10진수로 변환하기 위해 7-세그먼트를
    리포트 | 14페이지 | 1,000원 | 등록일 2014.06.30
  • 아주대학교 논리회로실험 실험5 예비보고서
    decimal code)BCD코드는 10진수의 한자리수를 2진수로 나타낸 것이다.ex)634 _{10} ` -> `0110`0011`0100` _{2}Excess-3 코드Excess ... 일정한 코드 공정과정을 통하여 암호화된 후 같이 통화하고 있는 상대방의 핸드폰으로 전송되면 이 이진수코드를 다시 목소리로 전환(디코딩)하여 상대방이 듣게 되는 것이다.BCD코드(binary-coded ... 입력 코드는 일반적으로 출력 코드보다 작은 비트수를 가지며, 입력 코드 워드(code word)에서 출력 코드 워드로 일대일 매핑된다.
    리포트 | 10페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    관련 기술 및 이론(1) BCDBCD(Binary-coded decimal) 코드는 우리말로 ‘이진화 십진법’ 이라 한다. ... BCD코드를 십진법으로 변환 한 후에 다시 BCD코드로 변환해주어야 하는 단점이 있다.십진수를 이진수로 나타내기 위해서는 나눗셈을 시행하는 복잡한 회로가 요구되기 때문에, BCD코드는 ... 코드의 특성상 비트 4자리가 0~9 만을 나타낼 수 있기 때문에 입력 시에는 10 이상의 수를 4자리 비트로 바꾸어 입력할 수 없다.(10진수는 꼭 BCD코드로 변환하여 입력)BCD
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • 7세그먼트 레포트
    코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.3) 7-세그먼트의 표시상태애노드 공통형 BCD-7 ... 그림 14-11에서 D=B=C=A=0일때단자에 연결된 스위치를 연 상태()와 닫은상태()의 LED표시기의 출력 상태를 관찰한다.4. ... 그림 14-10에서 10진수 0~9의 BCD 입력에 대한 출력상태를 관찰하여 표 14-3의 해당란에 기입한다.BCD 입력출 력 표 시DCBA00*************001130100401015011060111710008100193
    리포트 | 5페이지 | 1,000원 | 등록일 2013.03.26
  • BCD to EX3 Code Converter
    BCD to EX3 Code Converter1. Specification10진 디지털에 대한 Excess 3 code는 10진 디지트에 플러스 3을 해주는 코드이다. ... 이러한 BCD to EX-3 Code Converter를 2 input NAND Gate, 3 input NAND Gate, 2 input NOR Gate, Inverter 를 사용하여 ... B'D+BC'D'Y=CD+C'D'Z=D'* two-level AND-ORT=C+DW=A+BTX=B'T+BC'D'Y=CD+C'D'Z=D'4.
    리포트 | 8페이지 | 5,000원 | 등록일 2009.05.27
  • 논리회로설계실험 프로젝트 8Bit 계산기
    관련 기술 및 이론(1) BCDBCD(Binary-coded decimal) 코드는 우리말로 ‘이진화 십진법’ 이라 한다. ... BCD코드를 십진법으로 변환 한 후에 다시 BCD코드로 변환해주어야 하는 단점이 있다.십진수를 이진수로 나타내기 위해서는 나눗셈을 시행하는 복잡한 회로가 요구되기 때문에, BCD코드는 ... 보통 8421코드를 의미하는데, MSB부터 8, 4, 2, 1로 지정되어있다.BCD 코드의 4자리 숫자와 십진법의 한 자리 숫자가 대응 되어 서로 간의 변환이 쉽다.
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • [논실]예비5, 200820126, 안효중, 4조
    (Binary-coded decimal) 형태로 바꿔주는 것이다. ... 이 실험에서는 2비트의 입력을개의 출력으로 디코딩 여부를 확인할 수 있다.② BCD to Decimal Decoder→ BCD 코드를 10진 코드로 바꾸는 디코더이다. ... 디코딩과는 반대로개의 입력을 받아 n개의 2진코드를 출력한다.③ Excess-3 코드BCD 코드보다 3이 크기 때문에 Excess-3라고 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.02.29
  • 디시설 - ‘1’ 개수 카운터 Leading one 카운터 설계
    반대의 값을 리턴한다.실험 결과 및 고찰이번 실험에서는 ‘1’개수 카운터와 Leading one 카운터를 설계해보았다.이전 4bit 가산기나 bcd 가산기 보다는 코드를 작성하기 ... 이 실습에서는 loop 문을 다양하게 활용하는 방법에 대해 배울 수 있다.실습 내용실습 결과‘1’ 개수 카운터 VHDL 코드- 코드 주요 내용 및 동작 부분 해석for ~ loop문 ... ’stable and clk = ‘1’) …wait until (clk’event and clk = ‘1’);if rising_edge(clk) …- 4문장 모두 clk에 이벤트가
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • A+ 디지털 시스템 실험 Latch & Flip-Flop <6주차 결과보고서>
    Counter C1(CLK,CLR,C[3:0]);BCD_to_segment1 b1(C[3:0],seg[6:0]);endmoduleBCD Ripple counter + BCD to 7 ... Ripple counter + BCD to 7-segment는 5주차에서 만든 7-segment를 통해 counter를 FPGA로 올려 가시적인 모습으로 볼 수 있었다. ... [그림 9]는 이것에 대한 블록 다이어그램이고 [그림 10]은 이것에 대한 시뮬레이션 결과이다. 5주차 7 segment 구현 코드에서 Binary to BCD 부분을 삭제하고 BCD
    리포트 | 11페이지 | 1,500원 | 등록일 2017.07.05
  • 아주대 논회실 논리회로실험 실험5 결과보고서
    입력 값 S1~S4를 이진수로 보고 BCD(binary-coded decimal)에 따라 출력 값을 십진수 0~10으로 나타냈다고 볼 수 있다. ... 입력 값 S1~S4를 이진수로 보고 BCD(binary-coded decimal)에 따라 출력 값을 십진수 0~10으로 나타냈다고 볼 수 있다. ... -인코딩(Encoding)디코딩과 반대로 8진수나 10진수의 입력을 BCD, 2진수와 같은 코드로 변환 해주는 논리소자이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • BCD-7세그먼트 디코더 논리회로 설계보고서
    )들의 조합으로 표현하는 것을 부호화 또는 코드화(encode)한다고 말하며, 심볼들의 조합을 코드(code)라고 한다. ... BCD 코드는 그림 5-4에 나타낸 것과 같이 10진수의 각 숫자를 대응되는 2진수로 표현하는 코드이다. ... 설계 목표-7세그먼트에 표시기라는 소자 및 BCD 코드에 대한 이해- 이론을 바탕으로 BCD-7세그먼트 논리회로를 구성배경이론7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Post
    Input Select가 0일 경우, Bi_s를 BCD와 Excess-3으로 convert한다. ... CodeSource CodePin Assignment CodeBess-3으로 convert한다. ... 따라서 매개변수를 이용한 함수를 만들 수 있다면 변수만 다른 코드를 Copy & Paste할 필요 없이, 함수를 호출하고 함수의 Return Value만 사용하면 되므로 코드를 훨씬
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • C언어콘서트 프로그래밍 2장 ~ 11장
    #includeint main(void){printf("\"ASCII code\", \'A\', \'B\', \'C\',\n");printf("\\t \\a \\n \n");return ... #includeint main(void){int num;char ascii;printf("아스키 코드값을 입력하세요: ");scanf("%d",&num);ascii = num;printf ... ------------------------------------------bcd계속하려면 아무 키나 누르십시오 . . .
    리포트 | 63페이지 | 3,000원 | 등록일 2018.07.30 | 수정일 2019.02.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대