• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd 코드" 검색결과 321-340 / 986건

  • 디코더 예비보고서
    부호기binary-to-BCD 부호기는 2진수를 2진화 10진 코드로 변환시킨다. 0000부터 1001까지 카운트 된 후에는 1010이 되는게 아니라, 0000이 되고 다음자리수로 ... ) C=B'+D+A+BCd) D=C'A'+D+BA'+BC'D'e) E=C'A'+BA'f) F=B'A'+B'C+D+CA'g) G=CB'+D+C'B+BA'위에 카노맵을 정리해서 회로를 ... ) 그림 5와 6을 참조하여 십진수 구성요소 b~g 편에 대한 논리식을 구하고, 이를 이용하여 BCD-to-7 segment 복호기의 논리회로를 구성하라.b) b=C'+A'B'+ABc
    리포트 | 13페이지 | 1,000원 | 등록일 2018.03.18
  • Logic Works를 이용한 ALU를 기반의 사칙연산 계산기 구현
    입력받은 4자리의 10진수에서 2진수로의 변환 10진수에 대한 BCD 코드우리가 구현한 계산기는 10진수를 입력받아 10진수로 출력하는 계산기 이므로 BCD 코드에서는 10진수의 한자리 ... 이진수의 BCD 코드로의 변환 원리와 구현283. 참고 문헌311. 프로젝트 목표1.1. ... BCD 코드의 7-Segment 출력(BCD TO 7 SEG) 심볼은 아래의 진리표를 바탕으로 K-MAP 및 논리식을 정리하여 구현하였다.
    리포트 | 31페이지 | 3,000원 | 등록일 2016.08.16
  • Computer Organization And Architecture ch12 요약본
    ASCII코드라고 불리는 IRA. ... 이 코드에서 각 문자는 7bit 패턴이다. 128가지 표현 가능다른 코드로는 EBCDICLogical DataN-bit 단위를 각 항목이 0이나 1을 가지는 n개의 1비트 데이터 항목들로 ... 수치 데이터2진 정수 또는 고정소수점2진 부동소수점10진수밀집형 10진수(Packed decimal): 변환 오버헤드(Conversion overhead)를 피할 수 있음, 음수는 BCD
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.29
  • [기초전자회로실험2] "Asynchronous Counter / Design of Synchronous Counters" 예비보고서
    실험방법 및 순서① 다음의 상태를 따르는 6-mod Gray code synchronous counter를 설계한다.② 회로를 설계하고 구성한다.③ 실험 회로는 실험 당일 날 제공 ... BCD 카운터- 펄스,사건 등을 계수하고, 그 결과를 10진 숫자 형태로 나타냄?Qd?Qc?Qb?Qa10진수?0?0?00?0??0?0?01?1??0?0?10?2??0?0?113? ... transformation of counters③ Study the use of IC counters and sequence truncationSynchronous Counter①
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.25 | 수정일 2019.03.29
  • 논리회로 간소화 실험 결과보고서
    5장 논리회로 간소화(결과 보고서)1.실험목적- BCD - 부당한 코드 탐지기의 진리표를 나타낸다.- 논리식을 간소화하기 위해 카노맵을 이용한다.- 간소화된 논리식을 실행하는 회로를 ... 탐지되면 LED가 점등되는 회로를 설계하여 0~9까지의 10진수를 나타내는 4비트의 2진 코드는 출력되고, 10~15까지 BCD에서 부당한 값으로 판단하여 출력이 되는지 알아보는 ... InputOutputDCBAX00000000100010000110010000101001100011101000010010101011011111001110111110111111InputOutputDCBAX000000001000100001100100001010011000111010000100101010110111110011101111101111113.결과 분석이번 실험은 부당한 BCD코드
    리포트 | 3페이지 | 1,000원 | 등록일 2016.06.30
  • 디지털로직실험/최신 디지털 공학 실험8 논리 회로 간소화
    카르노맵을 그릴 때, 변수들을 맵의 상단과 왼쪽 측면을 따라 그레이 코드(gray code) 시퀀스로 적는다. 맵의 각 셀은 진리표의 한 행에 해당한다. ... 8-2에는 실험 순서에 적힌대로 10개의 유효한 BCD코드에 출력을 0으로 놓고 나머지 6개의 무효 BCD코드에 출력을 1이라고 놨다. ... 실험 보고서에 있는 표 8-2의 진리표를 완성하여라. 10개의 요효한 BCD 코드에 대한 출력은 0이고 6개의 무효 BCD 코드에 대한 출력은 1이라고 가정하여라.4비트로 설계를 하므로
    리포트 | 24페이지 | 1,000원 | 등록일 2014.06.30
  • 컴퓨터공학개론 2장 답
    2번1) 완성형 2) BCD 코드 3) 조합형 4) 유니코드아스키 코드주관식 문제1. 폰 노이만 컴퓨터는 어떤 특성들을 가지고 있으며, 이것이 컴퓨터 발전에 끼친 영향은 무엇인가? ... 유니코드는 한글만을 위한 코드 체계가 아닌, 전 세계 언어를 하나의 코드 체계안으로 통합하려는 컴퓨터 업체들의 협의에 의해 만들어진 코드이다. ( O )12. ... 아스키 코드는 미국 정보 교환 표준 코드로서 미국표준협회가 제정한 데이터 처리 및 통신 시스템 상호 간의 정보 교환용 표준 코드이다. ( O )11.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.04.12
  • #5 디지털실험 예비
    좀더 간단한 알고리즘으로 2자리수의 10진법의 합을 표현하도록 구현강의자료에 코드의 일부와 “pseudo-code”가 있어서 쉽다고 생각했지만, 처음 써보는 구문 “always@ 구문 ... Part2의 4-bit BCD를 나타낸 2 7-Segment를 잘 조합해서 코드를 맞추었다. ... 새로운 문법을 익히고, C언어를 하면서 잘 쓰지 않았던 XOR 연산자 ‘^’를 익힐 수 있어서 좋았다.Part4. 1-Digit BCD AdderPart3에서 구현한 Full Adder와
    리포트 | 7페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • [디지털논리회로-임석구-개정3판] 연습문제3장 풀이/족보,중간고사
    EBCDIC 코드 표현① C7 → G ② E5 → V③ D6 → O ④ F9 → 915. ... 각종 코드 표현방법① 2진수: 100100111② BCD 코드: 0010 1001 0101③ ASCII 코드: 0110010 0111001 011010113. ... 표준 BCD 코드 표현① E → 1110101 ② S → 1010010③ M → 1100100 ④ 7 → 000011114.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.04.16 | 수정일 2016.04.18
  • 04-논리회로설계실험-예비보고서
    to 7 segment Decoder- 이진화 십진코드(BCD)를 7-segment로 복호하는 장치이다. ... 십진-BCD 디코더는 4개의 입력값에 1개의 독립된 출력값을 대응하는 것이 가능 하지만 이진-BCD 디코더는 입력값도 다수에 각각의 출력값도 독립적이지 않다. ... 실험 목표2 bit의 코드를 받아서 N bit의 2진 코드로 해독하는 디코더와 N bit의 2진 코드를 받아 2 bit로 부호화 하는 회로를 VHDL을 이용하여 설계할 수 있다.2.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • [컴퓨터공학기초설계및실험2 보고서] Multiplexer design
    ), BCD부호(binary-coded-decimal code), 기타 여러가지 부호들을 부호가 없는 형태로 바꾸는 변환원리를 말한다. ... 나타내었다.입 력출 력s0s1D0D1D2D300l000010l001000l011000l복호기와 부호기(decoder와 encoder)- 복호기(decoder)복호기는 이진부호(binary code ... 코드를 짜는데 있어서는 input과 output의 순서를 서로 다르게 해서 (예를 들면 (y,d0,d1,s)순으로 설정해놓았는데 instance할 때 (d0,d1,s,y)순으로 했다던가
    리포트 | 10페이지 | 1,500원 | 등록일 2015.04.12
  • <논리회로실험>논리회로 간소화
    BCD 무효 코드 검출기의 진리표에 대한 카르노 맵※ 맵으로부터 읽은 최소 곱의 합(SOP):X = DB + DC※ 곱항을 D로 인수분해한 결과:X = D(B+C)※ BCD 무효 코드 ... 실험 순서에서 구성한 BCD 무효 코드 검출기의 진리표그림 7. 입력 000(각 B,C,D), 출력 X = HIGH그림 8. ... 입력 0011(각 D,C,B,A), 출력 X = 1Ⅲ. 결 론이번 실험은 BCD 무효 코드 검출기에 대한 진리표를 작성하고 카르노 맵을 이용해 표현식을 간소화 했다.
    리포트 | 5페이지 | 1,500원 | 등록일 2015.12.14
  • VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    실 험 소 개 (Introduction)1) Purpose of the Experiment이번 실험에서는 이전 실험했던 내용을 기초로 BCD to excess-3 code converter를 ... BCD to Excess-3 Code Converter(1) BCD to Excess-3 Code 변환기란?10진값에 3(0011)을 더한 2진 코드를 말하는 것이다. ... 즉, VHDL은 마치 S/W처럼 보이고 그 언어내용들도 H/W화하기 어려운 것도 많이 있으므로, Coding할 때 Synthesizable한 code로 구성되어야 한다.셋째 : 늘
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • 논리게이트 및 부울함수의 구현
    논리 연산(가산)회로, 2진수의 비교회로, 착오의 검출, 그레이-BCD 코드변환 등에 사용된다.부울식은BAR{A OPLUS B}`=`BAR{A}B+A BARB 로 나타낸다.7) Exclusive
    리포트 | 5페이지 | 1,000원 | 등록일 2019.05.20
  • [컴퓨터공학기초설계및실험1 예비레포트] 복호기와 부호기 / 멀티플렉서와 디멀티플렉서
    부호변환기의 동작을 살펴보고 이해하는데 목적을 둔다.원리(배경지식)복호기(decoder)복호기는 이진부호(binary code), BCD부호(binary-coded-decimal code ... to 10진(BCD-to-Decimal) 복호기는 4개의 입력을 사용하고 16가지의 출력 중에서 0~9까지의 10가지만 사용하여 BCD 부호로 표시된 수치를 십진법으로 변환시킨다. ... 흔히 사용하는 예로는 계산기에 있어서 연산 회로로부터 나오는 BCD부호를 발광다이오드를 이용한 열 개의 수치로 나타내는 수치 디스플레이(numeric display) 장치를 들 수
    리포트 | 7페이지 | 1,500원 | 등록일 2015.04.12
  • 두 개의 BCD 입력을 받아, EX-3로 변환 후 뺄셈을 수행하는 감산기 설계 및 제작
    감산기에서는 두 EX-3 코드와C0를 더하여 감산한 후 C4와 BIN to BCD로 입력된다. ... 단, SWB의 입력된 BCD 코드는Ex-3코드로 변환 후 1의 보수로 변환 뒤 감산기에 입력된다. ... BIN to BCD의 출력과 C4를 DISP2에서총 5개의 LED로 출력하게 된다.4.
    리포트 | 11페이지 | 1,000원 | 등록일 2015.11.25
  • 전전컴실험Ⅱ 06반 제08주 Lab#06 [Flip-Flop, Register, SIPO] 결과보고서
    틀렸다면 code에 잘못된 점은 없는지, warning, 논리적으로 맞는지 등을 확인한다.나. ... 일종으로 서로 인접한 두 수의 부호어가 1비트만큼 다르게 되어 있는 것을 특징으로 하는 부호(나)예를 들자면, binary가 10110 이라고 했을 때, MSB는 1이니까 gray code의 ... Data analysis (compare results, reasons of error)이번 실험에서는 오류가 날 가능성이 있었던 부분이 작성한 코드 뿐이었다.실험을 하면서 가장 힘들었던
    리포트 | 11페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 논리예비5 Decoder & Encoder
    2진수로 변환시키는 10진2진인코더, 10진수를 2진화 10진 코드 (BCD code)로 변환시키는 10진-BCD인코더 등이 잘 알려져 있다. ... 입력으로 받아들여 2진수나 BCD와 같은 코드로 변환 해주는 조합논리회로-개의 입력과 n개의 출력을 갖고 있으며, 출력은 입력에 대응하는 2진 코드를 생성함- 대표적인 것으로는 10진수를 ... Function table실험 3) 인코딩 - 10진 / Excess-3 코드 (BCD에서 3을 더함)? Function tableDecimal
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
  • 논리회로실험. 실험 5. Decoder & Encoder
    -만일 BCD 1001이 입력되었다고 치면 (a, b, c, d, e, f, g) = (1, 1, 1, 1 ,0 1, 1)을 출력해 HIGH인 출력에 . ... BCD 코드를 EXCESS-3 코드로의 전환( BCD+0011)1. ... 그러면 왜 코드변환이 필요한지 생각해 볼 필요가 있다.예를 들어, BCD To Excess-3코드 변환을 통해 BCD 코드를 Excess-3코드로 변환시킨다.
    리포트 | 11페이지 | 2,000원 | 등록일 2015.12.21 | 수정일 2016.06.02
  • J-K 플립플롭을 이용한 동기식 카운터
    동기식 카운터 상태변화 각 숫자에 대해 on 되어야할 7세그먼트 요소숫자BCD 코드on 되어야 할 요소D C B A01234567890 0 0 00 0 0 10 0 1 00 0 1 ... J-K플립플롭 3개를 이용하여 출력된 BCD를 디코더를 통해 10진수로 바꿔 7-세그먼트에 0~6까지 반복해서 나타내는 카운터를 설계한다. ... c, d, f, gc, d, e, f, ga, b, ca, b, c, d, e, f, ga, b, c, f, g2.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대