• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd 코드" 검색결과 21-40 / 986건

  • 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계
    변환하는 것은 A,B,C,D,E,F와 같은 16진수를 0~9까지는 그대로 출력하고 A~F는 마지막 두 비트에서 10을 빼서 BCD코드로 변환하는 실습이었다. ... 통신회로 및 실습과제 [4] 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계정보통신공학과2010160101 ... 역할을 하는 회로를 구성하는 것이었고, 2의 보수 로직은 산술연산자를 사용하여 1의 보수를 취한 다음 마지막 비트에 1을 추가하여 간단한 2의 보수를 구현해 보았다. 16진수를 BCD코드
    리포트 | 8페이지 | 3,000원 | 등록일 2014.07.11
  • VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    실 험 소 개 (Introduction)1) Purpose of the Experiment이번 실험에서는 BCD to excess-3 code converter를 설계하는 것이다. ... Source Results① Prelab에서와 달라진 소스코드Prelab Source CodeLibrary IEEE;Use IEEE.std_logic_1164.all;Use IEEE.std_logic_unsigned.all ... 이것은 두 개의 simulation결과가 BCD to Excess-3 Code Converter임을 나타내 주고 있다.
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    실험 이론 지식 - BCD code / Excess-3 code 의 정의BCD코드란 10진수중 0-9까지만의 수를 2진수로 표현한 것으로 간단하게 각 자리별로 2진수로 표현한 것이다 ... 실험 이론지식 BCD code / Excess-3 code 의 정의4. 실험 이론 지식 Mealy machine for the serial code converter5. ... BCD-to Excess-3 bit serial code converter7.
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • vhdl를 이용한 bcd to excess-3 코드 변환기 설계(순차회로)
    Specification2진수로 표현된 10진수인 BCD에 3을 더하는 Bcd_to_Excess3 코드변환기의 설계를 실시한다. ... Verification29 else30 case(bcd_cnt)31 3'b000 : begin32 if(button_A0 == 1'b1)33 begin34 bcd_cnt ... 종료되면 그 즉시 새로운 4비트 시퀸스를 받을 수 있도록 하며, 입력으로 클럭과, 리셋, ‘0’을 입력하는 신호와, ‘1’을 입력하는 신호를 사용하고 출력으로 4비트의 excess3_code
    리포트 | 11페이지 | 2,000원 | 등록일 2010.06.11
  • vhdl를 이용한 BCD-TO-EXCESS3 코드변환기(조합회로)
    예를 들면 10진수 0 즉 BCD 0000에 대한 EXCESS3 코드는 10진수 표현시 0+3=3이고 BCD 표현시 0000+0011=0011을 나타낸다.각 BCD 숫자(INPUT) ... 는 네 개의 bit로 구성되고 가장 최상위 bit를 A로 하여 제일 최하위 bit D까지 A, B, C, D로 표시한다.EXCESS-3 코드(OUTPUT)는 가장 최상위 bit를 W로하고 ... ;End bcd_to_excess3;ARCHITECTURE BEHAVIOL OF bcd_to_excess3 ISBEGINprocess(A, B, C, D)variable E : std_logic_vector
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.11
  • [디지털 시스템 설계] 코드변환기 (BCD-> gray, BCD-> excess-3 code)
    - Excess-3 code(3초과 코드) : BCD코드에 3을 더해서 만든 code이다. - Gray code : 인접한 수가 한 비트만 변하여 만들어진 코드이다. ... 코드 변환기를 제작하기 위해 BCD와 Excess-3 code와의 관계, BCD와 Gray code와의 관계를 알아보아야 한다. ... 위에서 각각의 코드에 대해 설명해 놓은 부분에서 알 수 있듯이, Excess-3 codeBCD code로부터 3을 더한 코드이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2004.12.18
  • bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
    파일내용 상세보기 전자전기컴퓨터설계실험ⅢBCD to Excess-3 code Converter목 차실험 목적 실험 도구 Post Lab (실험 결과 및 분석, 논평)실험 목적이번 실험은 ... Xilinx 프로그램을 활용하여 BCD코드를 Excess-3 코드로 변환시키는 변환기를 구현하는 것인데, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 ... Prelab에서 구현한 결과와 실제 실험에서 구현한 결과가 일치한다.실제 코드를 보면 bcd 코드에 3을 더한 값이 excess3로 출력됨을 볼 수 있다.
    리포트 | 19페이지 | 3,000원 | 등록일 2008.06.01
  • 7447과 7SEGMENT실험보고서(7447과 7SEGMENT 이용한 BCD->7SEGMENT 코드 숫자점등)
    이는 3개씩 짝지어진 BCD코드에서 최대 표현 가능 수는 7이기 때문에 그 이상의 자리 올림 수를 첫 번 째 7SEGMENT에 표시하기 위하여 A입력단은 BCD코드의 4번째 자리에 ... 실험 3 보고서데이터 및 관찰 내용 : 7447과 7SEGMENT 이용한 BCD->7SEGMENT 코드 숫자점등표 3-1입력출력2진수BCD 수7-세그먼트디스플레이00********* ... 8개의 LED로 구성되어있으며 점등되는 SEGMENT에 따라 숫자를 표시할 수 있다.7447은 7SEGMENT를 보다 손쉽게 사용할 수 있도록 도와주는 DECODER IC로써 입력된 BCD코드
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.20
  • 논리회로설계실험 BCD가산기 레포트
    관련 기술 및 이론1) BCD (binary-coded decimal)BCD는 십진수를 이진코드로 표기한 것이기 때문에 2진화 10진법이라고도 불리며, 2진수 네 자리를 묶어 10진수 ... ) BCD to 7 segment Decoder앞에서 언급한 바와 같이 BCD는 10진수 숫자를 4비트 코드로, 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 7-segment codeBCD to 7segBCD의 값을 7-segment로 바꿔주는 코드이다.input으로 4bit의 수를 받는다(5행).output으로 7bit의 수를 출력한다
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    따라서 그 사이에 통역을 위한 코드가 필요한데, 이를 바로 이진화 십진코드(BCD, Binary-coded decimal)라고 부른다. ... BCD 코드 및 논리회로모든 컴퓨터는 내부적으로 이진법에 의해 동작하지만 사람은 이진수를 사용하지 않는다. ... Arithmetic Logic Unit, Arithmetic and Logic Operation Unit 산술 연산 장치”, 정보통신기술용어해설[2] WIKIPEDIA, “Binary-coded
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • <디지털회로실험>인코더,디코더와 코드변환기
    3) BCD to Gray 코드변환기BCD 입력Gray 코드 출력ABCA'B'C'0000000010010100110110101001101011111101011111005. ... -10진 디코더 회로BCD-to-10진 디코더는 2N개의 입력 코드가 모두 이용되지 않고 어떤 특정 코드들만 이용되는 디코더로, BCD 코드를 10진수로 변환한다.먼저, A~D 입력에 ... 코드의 다음 비트 값이 된다.이와 같은 동작을 반복하며 BCD to gray 코드변환기는 작동한다.BCD를 Gray 코드로 변환시키는 이점은 연속된 값에서 1비트만 변경되므로 에러가
    리포트 | 8페이지 | 2,000원 | 등록일 2023.10.24
  • [논리설계] 연습문제 2장 풀이
    , 10진수로 해석(1) BCD 코드8421, 8421, 8421로 계산 -> 7, 5, 9= 759(2) 3증수 코드BCD코드에 0011 더하여 표현= 426(3) 84-2-1 코드우측 ... 10110111G a t e SP 2 7-> "Gate 27"(2) 11000111 01100001 11110100 11100101 00100000 00110010 00110111C7 ... 61 F4 E5 20 32 372.30 - 0110에 대한 해밍 코드: 0 1 1 0 0 1 12.31 - 8비트 컴퓨터에서 해밍 코드 사용중이고 저장할 데이터가 10010100(
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.31
  • BCD가산기 verilog 설계
    코드 기술BCD_ADDERtb_BCD_ADDERmodule BCD_ADDER(A,B,C,RESULT);input [3:0] A;input [3:0] B;output C;output ... 제목BCD 가산기 설계실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... ;reg [3:0] A;reg [3:0] B;wire C;wire [3:0] RESULT;BCD_ADDER tb(.A(A), .B(B), .C(C), .RESULT(RESULT));
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 코드변환 설계
    실험 제목① 2진 코드를 그레이 코드로 변환② 그레이 코드를 2진 코드로 변환③ BCD 코드를 2421 코드로 변환④ BCD 코드를 3초과 코드로 변환2. ... 코드를 2421 코드로 변환의 원리BCD 코드를 2421 코드로 변환할 때는 BCD가 숫자 10개만 사용하므로 1010~1111 6개는 BCD에 존재하지 않아 무관항으로 처리하며, ... (1)로 조작하면출력 LEDW,`X,`Y,`Z는 High(1)가 나온다.④ BCD 코드를 3초과 코드로 변환의 원리BCD 코드를 3초과 코드로 변환할 때는 BCD가 숫자 10개만 사용하므로
    리포트 | 19페이지 | 1,500원 | 등록일 2020.11.16 | 수정일 2022.04.23
  • BCD 가산기 설계 결과보고서
    BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다 일상생활과 디지털 연산은 기반으로 하는 수가 다르기 때문에 이 실습에서는 BCD로 입력되는 두 수를 더한 2진결과를 ... 그림[3-46]의 블록도와 같이 두 BCD의 입력을 받아 7-세그먼트 FND에 BCD를 출력하는 BCD가산기를 설계하라. ... 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습하였다.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    code는 변환이 이루어진 8421(BCD) code에 3(0011)을 더해서 나타낸 코드이다. ... , Binary Coded Decimal, 2진화 10진수) code 및 Excess-3 code- 8421(BCD) code는 10진수를 4bit 2진수로 나타낸 code이다. ... 대응하는 관계를 표로 나타내면 다음과 같다.10진수01234567898421(BCD)code0*************11010001010110011110001001- Excess-3
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 전기및디지털회로실험 실험7 예비보고서
    대표적인 것으로는 10진수를 2진수로 변환시키는 10진2진인코더, 10진수를 2진화10진코드(BCD code)로 변환시키는 10진-BCD인코더 등이 잘 알려져 있다.예를 들어 0∼9의 ... (mnemonic code)로 변환(convert)하는 역할을 하는 프로그램의 것을 디코더(decoder)라고 하는 경우가 있다. ... docId=1149956&cid=40942&categoryId=32372-BCD 코드조합논리회로의 설계절차를 다시 요약하면 다음과 같다. 다.
    리포트 | 11페이지 | 1,000원 | 등록일 2023.06.30
  • 시립대_전전설2_Velilog_예비리포트_7주차
    코드 분석(2) 핀 설정(3) 테스트 벤치 작성 후 컴파일(4) 시뮬레이션3) 직렬 입력 / 병렬 출력 BCD to Excess-3 code converter(1) 회로 코드, 핀 ... 것이지만 이번에는 Input과 Output이 모두 Serial (직렬) 로 표현되는 식을 만드는 것이다.(4) Serial I/O code converter(5) 74LS193A ... 실험 코드 분석4. 참고 문헌1.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11 | 수정일 2022.04.21
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    그림 4의 회로는 2진수를 2진화 10진수 (binary coded decimal, BCD)로 변환을 수행하는 회로이다. ... 가산기/비교기/멀티플렉서/디멀티플렉서실험 목표비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 있다.디코더와 인코더의 원리를 이해한다.실험 이론2진화 10진수 (binary coded ... 코드 변환기디코더결과 분석 및 토의비교기비교기 실험에서는 4비트 비교기를 이용해서 비교기를 알아보았고, 비교기의 성질을 이용해서 2진/BCD코드 변환기를 구성하였다.4비트 비교기의
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 시립대 전전설2 Velilog 결과리포트 6주차
    그 후 BCD코드가 들어가면 0011을 더해주어 Excess-3 code가 나오도록 한 회로이다.? 진리표3. ... -3 code ConverterSIPO Resistor을 사용해서 BCD to Excess-3 Convertor를 만든 회로로써, Input값에 BCD를 입력할 때 SIPO Resistor를 ... to Excess-3 code Converter를 설계해 볼 것이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대