• 통큰쿠폰이벤트-통합
  • 통합검색(4,639)
  • 리포트(4,417)
  • 자기소개서(160)
  • 시험자료(36)
  • 방송통신대(11)
  • 논문(7)
  • 서식(5)
  • ppt테마(2)
  • 이력서(1)

"논리회로실험" 검색결과 81-100 / 4,639건

  • 디지털 논리회로 실험 1주차 기본 논리 게이트 결과보고서
    B를 뜻하는 회로였다. 위의 회로실험한 결과, 논리값은 A?B의 진리표와 같은 값이 나왔다. ... 디지털 논리회로 설계 및 실험결과보고서주제 : 기본 논리 게이트 (AND, OR, NOT Gate)소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자 ... 논리 부정 회로라고도 불리는 NOT 게이트는 입력한 신호를 반전하여 출력 반대로 입력신호가 ‘1’이면 ‘0’이 출력된다. 이번 실험에서는 총 3번의 반전이 일어난다.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리 회로 실험 플립플롭 결과 보고서
    디지털 논리 회로 실험 결과 보고서 (5차)실험 8. ... 다행히 첫 번째 실험에서 회로구성에서 계속 실수했던걸 미리 알아서 천천히 회로를 구성하게 되니 이론 값처럼 두 입력의 같이 같을 때 S=1, R=1일때는 논리값 0이 나왔고 서로 다를 ... 때 논리값은 1(5V)에 가깝게 측정이 되었습니다.8-3과 8-4 실험은 계속 IC칩 구성회로 그림을 보고하는데도 이해가 가지 않아서 가장 오래 시간이 걸렸던 실험이었습니다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.12.31
  • 실험6. 산술논리연산회로 결과보고서
    고찰이 실험은 산술논리회로논리연산회로를 결선하여 기능에 맞게 출력값을 확인하는 실험이었다. 실험 6.1에서는 그림 1의 회로대로 산술연산회로를 결선했다. ... (그림 11-26) 위 실험을 통해, 산술연산회로논리연산회로의 원리와 기능, 그리고 작동에 대해서 알게 되었다.위 실험에서는 특별히 오차를 관측할 수는 없었지만, 결결선에 있어서 ... 실험 6.3에서는 그림 10의 회로대로 논리연산회로를 결선했다. (1G쪽 소자를 사용하였기에, 1G는 GND와 연결했고, 2G는 Vcc에 연결했다.)
    리포트 | 12페이지 | 1,500원 | 등록일 2022.05.26
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    논리회로설계 실험 설계과제 보고서주제 : #2 STOPWATCH 설계1. ... 즉 순차회로는 조합회로와 메모리를 합친 것이다.이는 순서논리회로, 프로토콜, 컴퓨터, 컴파일러 등의 동작을 표현, 이해, 설명하고 설계하기 위한 체계적이고 수학적인 방법의 틀을 제공한다
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 논리회로실험 프로젝트 2, stop watch 설계
    논리회로설계실험 프로젝트 #2Stop watch 설계1. ... 설계 목표BCD, 7 segment, 카운터, Debouncing 등에 대해 조사해보고, 지금까지 학습해왔던 논리회로 설계기술을 이용하여, 분 : 초 : 1/100초를 나타내고 리셋기능과 ... 알려준다.- 숫자 4를 표현한 것은 다음 그림과 같다.4) Counter- Counter란 반복해서 일어나는 현상의 수를 셈하는 장치로 클록 펄스에 따라 수를 세는 계수능력을 갖는 논리회로이다
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로실험) 7 segment 프로젝트 1 예비
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 엔코더1. ... 예비 이론(1) 디코더- 데이터를 어떤 부호화된 형으로부터 다른 형으로 바꾸기 위한 회로와 장치를 가리킨다.- n비트의 2진 코드를 최대 2^n개의 서로 다른 정보로 바꾸어 주는 조합논리회로이고 ... 고찰이번 예비실험에서는 디코더와 엔코더에 대해 알아보았다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로실험 반가산기 전가산기
    논리회로설계 실험 예비보고서 #2실험 2. 반가산기 & 전가산기1. ... NOT게이트를 사용하면 더 많은 논리회로들을 그릴 수 있으나 본 실험에는 세 개의 논리회로만 그려보았다.2) 소스 코드동작적 모델링자료 흐름 모델링구조적 모델링구조적 모델링 內 AND ... 2206285036165) 논리회로설계실험 국태용교수님 아이캠퍼스 강의자료http://www.icampus.ac.kr/5.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 아주대 논리회로실험 실험8 Counter 예비보고서
    following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2020.11.10과목명: 논리회로실험교수명 ... 예상 결과- 실험1실험 1의 회로도를 보면 J/K F/F의 입력이 모두 1이고, CLK signal에 버블이 달려있으므로 falling edge마다 이 전에 가지고 있는값이 toggle된다 ... 실험 과정- 실험1(3진 Counter ?
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 아주대 논리회로실험 실험9 RAM 예비보고서
    following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2020.11.13과목명: 논리회로실험교수명 ... 회로 결선도- 실험1(2-bit RAM), 실험2(16-bit RAM)5. ... 실험 과정- 실험1(2-bit RAM)1) 다음 회로도와 같이 74HC00, 74HC03을 준비하여 Bread Board에 연결한다.2) 입력 QE0,1 IN0,1 WR0,1을 각각의
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 디지털 논리회로 실험 1주차 기본 논리 게이트 예비보고서
    실험 이론논리회로란 전기적 신호인 1과 0(high와 low)를 가지고 논리연산(AND, OR, NOT 등)을 수행하는 회로를 말한다. ... 디지털 논리회로 설계 및 실험예비보고서주제 : 기본 논리 게이트 (AND, OR, NOT Gate)소속: 공과대학 전자전기공학부수업: 수2,3 XXX 교수님 XXX 조교님제출 일자: ... 이번 실험에서는 AND, OR, NOT 등 기본 논리게이트의 동작 특성 및 응용에 대해 알아볼 것이다.(1) AND 게이트기본 논리 회로 중의 하나로서, AND 게이트는 다수의 신호를
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.19 | 수정일 2020.09.24
  • 충북대 기초회로실험 4-비트 산술논리회로 결과
    논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(8) 실험 4와 실험 5 및 실험 7에서 설계된 심볼을 이용하여 의 4비트 산술논리회로를 그리고 시뮬레이션을 한 다음 심볼화 ... 실험 12. 4-비트 산술논리회로(결과보고서)실험 결과(1) Pspice를 이용하여 의 (a)와 같이 1비트 전가산기를 그리고 시뮬레이션을 한 다음 (b)와 같이 심볼화 하라.(2) ... 시뮬레이션을 한 다음 심볼화 하라.(6) 실험 3의 심볼을 이용하여 의 1비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(7) 실험 6의 심볼을 이용하여 의 4비트
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.10
  • 아주대 논리회로실험 실험2 CMOS 회로의 전기적 특성 예비보고서
    following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2020.09.14과목명: 논리회로실험교수명 ... 실험 목적- CMOS 회로의 전기적 특성 이해2. ... :분 반:학 번:성 명:실험2 예비보고서- CMOS 회로의 전기적 특성 -1.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • [논리회로실험] Decoder & Encoder 예비보고서
    참고네이버 지식백과wikipedia논리회로 강의노트 ... 실험이론1) Decoding- n비트의 2진코드를2 ^{n}개의 서로 다른 정보로 바꾸어 주는 조합 논리회로- 입력 n개, 출력 m개인 디코더를 nXm 디코더라고 하면, n개의 입력 ... 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 논리게이트 회로실험 예비보고서not,and,or
    리포트 | 4페이지 | 1,500원 | 등록일 2023.05.15 | 수정일 2024.04.14
  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    시뮬레이션 결과를 인쇄하라.(6) 실험 3의 심볼을 이용하여 의 1비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(7) 실험 6의 심볼을 이용하여 의 4비트 논리 연산회로를 ... 실험 12. 4-비트 산술논리회로(예비보고서)실험 목적(1) ALU (Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를 ... 이용하여 4비트 ALU를 설계하고 시뮬레이션을 한다.이론ALU는 산술 연산회로논리 연산회로로 나누어진다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 디지틀 논리회로 실험6 가산기와 감산기
    그리고 회로가 구성되면 실험값과 계산 값을 비교하면서 이론과 실험이 동일한지 확인했다 . ... 가산과 감산을 할 수 있는 회로를 설계하는 방법을 익힌다 .이 론실험 순서 7408,7486 회로를 사용해 반가산기 회로를 구성한다 . 7408,7486,7432 회로를 사용해 전가산기 ... 조원과 같이 이유를 분석하고 실험을 마쳤다 . 1 학기 디지털 수업 때 배운 대로 조원은 회로를 보고 진리표를 작성했고 , 나는 회로를 구성하였다 .
    리포트 | 13페이지 | 2,000원 | 등록일 2019.10.03 | 수정일 2021.10.17
  • 디지털 논리 회로 실험 레포트 [7세그먼트로 숫자표현]
    논리 회로를 직접 구현한다.Ⅱ. ... 디지털 논리 설계프로젝트 3 레포트Ⅰ. 목표불대수와 진리표, 카노맵 등을 이용하여 주어진 문제를 간소화하고 이를 브레드 보드를 이용하여 구현한다. ... 회로도와 구현 회로그림 13 회로도위 회로도를 바탕으로 회로를 구현하면 다음과 같다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.01.03
  • 디지털 논리 회로 실험 레포트 [AND OR 게이트의 이용]
    따라서 효율적인 회로 설계를 위해ABC+CD를 NAND gate로 표현한 논리 회로를 바탕으로 회로를 구현한다.Ⅴ. ... 이 스위치 회로는 새로운 정책이 통과되었을 때, 불이 켜지도록 설계되어 있다. 4개의 입력과 하나의 LED 출력을 가지는 논리 회로를 설계해라.Ⅲ. 준비물1. ... 논리 회로를 직접 구현한다.Ⅱ. 주어진 문제한 소기업은 200주의 주식을 보유하고 있으며, 각 주식은 주주총회에서 소유주에게 1표를 부여할 수 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.01.03
  • 디지틀논리회로실험 11 시프트 레지스터 A+
    회로논리가 사실은 2 로 나누면 우측으로 이동 , 2 를 곱하게 되면 좌측으로 이동한다는 원리를 알고나니 보다 회로의 이해도나 응용의 면이 늘어난 것 같다 . ... 실험 3. 74164 TTL 만능 시프트 레지스터 실험회로토론 시프트 레지스터를 구성하는 실험을 했는데 , 이전까진 그저 왼쪽에서 오른쪽으로 , 왼쪽에서 오른쪽으로 이동하는 줄 알았던 ... 을 이용하여 6 비트 우측 시프트 레지서터를 만들어 출력값을 관찰한다 . 74164 TTL 시프트 레지스터를 만들어 출력값을 관찰한다 . 74194 TTL 만능 시프트 레지스터 실험회로
    리포트 | 9페이지 | 3,000원 | 등록일 2019.11.30 | 수정일 2021.11.15
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다. ... Sources & Results① Test 1 - 기초 조합논리회로1) VHDL sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity test1 ... complement의 표현범위는 -8부터 +7까지이며0은 +부호를, 1은 -부호를 나타낸다.2) Full adder전가산기(Full adder)는 기본적으로 1비트의 2진수 3개를 더하는 논리회로이며
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대