• 통큰쿠폰이벤트-통합
  • 통합검색(929)
  • 리포트(899)
  • 시험자료(16)
  • 자기소개서(11)
  • 논문(3)

"7segment실험" 검색결과 381-400 / 929건

  • 디지털실험 - 설계 2 결과 보고서
    하지만 계속해서 가산일 때에만 출력이 되고, 감산일 때는 값이 제대로 나오지 않아 전감가산기를 설계하는 데에는 실패했다고 볼 수 있다.앞선 설계 1의 7-segment보다는 수월한 ... 설계 결과 분석 및 고찰이번 설계는 기본 소자들을 이용하여 전감가산기를 구성하는 실험이었다. 설계과정은 먼저 4비트 전가산기를 구성하여 설계하였다. ... 맨 오른쪽 상단의 XOR 게이트의 4개의 출력 단자에서 차례대로 S0, S1, S2, S3으로 출력하였고, 맨 오른쪽 하단의 OR 게이트에서 전가산기일 때는 Carry 값을 출력하도록
    리포트 | 2페이지 | 1,500원 | 등록일 2017.04.02
  • 10. Mod-n counter - 복사본
    설계한 counter회로를 디코터 7447 IC칩과 7segment를 연결하여 7segment에 출력이 되도록 구성하고 출력되는 값을 기록한다.(2)2Mod-10 counterQ. ... Mod-n counter1.실험 목표① Flip-Flop을 이용한 Mod-N-counter를 이해한다.② Counter의 출력을 decoder와 7-segment를 이용하여 디스플레이할 ... PSpice모의실험(1)Mod-n counter①측정문제1Q.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.11.26
  • 1인 스테이크 전문 식당 사업계획서
    S T P마케팅전략 segmentation S T P 개인주의 집단문화 식사를 함께 하는 것 선호 싱글족 시끄럽고 즐거운 식사 선호 편하고 조용한 분위기 선호 도심지역 도심외곽 지역 ... 패스트푸드 방학 시즌 비수기 쉬운 모방가능성 SWOT 분석마케팅전략 성별 남자 여자 나이 10 대 20 대 30 대 40 대 50 대 직업 무직 학생 직장인 군인 수입 상 중 하 segmentation ... 경쟁사 및 벤치마킹 업체분석 7. 마케팅전략 8. 수익성분석 4. 입지분석 Index선정동기 1.
    리포트 | 41페이지 | 5,000원 | 등록일 2017.06.05
  • 디지털로직실험 BCD(binary coded decimal), 7세그먼트 수체계 레포트
    디지털로직 실험-실험 수 체계-실험3수체계실험목표2진수 또는 BCD(binary coded decimal)수를 10진수로 변환.BCD 수를 디코딩 하고 7-세그먼트로 표시해 주는 디지털 ... 수를 10진수로 변환.BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 디지털 시스템 구성모의실험용으로 결합을 만들어 놓은 회로의 고장 진단.데이터 및 관찰 내용:입력출력2진수BCD수7 ... -실험 회로 구성 사진-오실로스코프 측정실험을 하다보면 잘 되는 경우도 있지만 어떤 경우에는 7-세그먼트에 출력되는 불빛의 세기가 약하거나 출력이 표시되지 않는 경우가 발생하기도 한다
    리포트 | 9페이지 | 3,000원 | 등록일 2015.06.03
  • 엔코더와 디코더 회로 결과보고서
    회로를 구성하여 7-segment LED를 구동 확인하고 0-9숫자의 논리식을 표시하라.입력값실험결과 사진(S,C)십진수 1 입력시십진수 7입력시결과 해석실험2번의 경우 7segment ... -0.125V-0.07V110.02V0.02V0.02V5.04V실험 (2)다음은 7-segment의 진리치표이다. ... 및 고찰이번실험의 경우 엔코더와 디코더의 기능을 익히고 7segment설계를 해보는 실험이었는데, 1학기 디지털 실험시간에 인코더 디코더에 대한 지식이 많이 부족한채 2학기로 넘어와서
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • 마이크로프로세서 결과보고서 2장 - LED ONOFF 해보기
    외형적인 것은 제외하고 기본 동작을 어떻게 구현 해야되는가를 구체적인 흐름으로 설계해 봅시다.시분초000000시/분/초 를 표현하기 위해서 최소한 6개의 7-segment 가 필요하다.각 ... segment 6개를 제어해야 하므로 common 단자를 이용하면 될 것이다.3) ALU와 직접 연관된 명령들이 무엇이며, 어떻게 동작되는가를 설명합시다.ALU(Arithmetic ... 실험은 크게 나누면 두가지 실험이다.실험의 목적은 책에 나와있지 않지만 내 나름대로 세우고 실험에 임했다.- 매 실험에 사용할 PIC16F84는 처음이라 생소하기에, 어떤 것인지를
    리포트 | 14페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • 냉방,난방시스템 디지털회로설계
    segment를 제어하기 위한 decoderbcd 값을 입력하면 그에 맞는 값을 7-segment에 출력7-segment- 숫자와 약간의 영문자를 디스플레이할 수 있고 디지털 시스템의 ... 연구내용2.1 개념도2.2 설계 주요내용① NE555타이머를 이용해서 클럭을 발생시켜서 업/다운 카운터를 실행시킨다.② 7-segment에 연결해서 값을 출력 되게한다.③ 디코더를 ... 디지털 논리회로 실험에서 지금까지 배운 내용을 이해? 디지털 논리회로 실험에서 배운 이론을 활용하여 일상생활에 쓰이는 system 활용?
    리포트 | 5페이지 | 3,000원 | 등록일 2014.12.21
  • KIT를 이용한 ALU
    저번 실습과는 달리 11개의 state를 가진다. 7-segment 이렇게 ALU를 통해 연산된 결과는 7segment를 통해 표시된다. ... 또한, 7-segment 부분에서 cnt를 통해 loop를 돌렸는데, 우리는 이번 실습에서 7-segment를 두 자리까지 사용하였다. ... 이 때 7-segment는 16진법을 사용하였다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    S0~S2의 값에 따라 각 연산중에 어떠한 연산을 수행할 것인지를 결정한다.-4bit State(상태) 선택 핀-7 segment 출력1. 7 bit 의 Output 출력으로 7 segment를 ... 돌며 각 자리의 7 segment 출력이 나타난다.간단하게 설명하자면, S가 “1111”일 때 S2나 S3를 서 led와 7 segment라는 두 개의 출력 방법이 있는 것이다.우리가 ... S가 “1111”에서 달라질 경우, S는 각 ALU에 맞는 계산이 진행되고 led에 표시된다.세 번째로 7 segment 출력이다. 7 segment의 출력은 cnt2를 이용하여 루프를
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • [논리회로실험] 실험11. 디지털 클락
    이번 실험을 통해서 분주회로에 대해 복습하고, 간단한 순차회로 설계에 대해 학습할 수 있다. 그리고 7-segment를 통해 원하는 값을 출력하는 법을 배울 수 있다. ... 우리가 이번 실험에 사용하는 7-segment는 총 6개로 코드 내에서 DIGIT이라는 signal을 이용하여 6개 중 1개를 선택해서 1개만 동작시킨다. 6개가 동시에 동작은 불가능하다 ... 즉, 1개의 7-segment에서 다음 7-segment로 넘어갈 때 필요한 clock이 있어야 한다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • #5 디지털실험 예비
    (예비)Lab 5.디지털 실험이명진 교수님금(09:00 ~ 13:00)2007122043 김병주Due date - 2012.04.06. ... 저번 실습을 할 때 7-Segment가 Low Active라는 것을 알게 되었지만, 습관이라는것이 이번 과제에도 7-Segment를 High Active로 코딩하였고, 그것에 ‘~’ ... Segment에 4-bit 2진수코드를 BCD 로 나타낸다. (0~15)2진법의 스위치를 1부터 15까지 이동하였을 때 모든 7-Segment값이 순차적으로 잘 나왔다.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 논리회로실험 예비보고서8
    (b) J-K F/F을 통한 3진 값을 AND 게이트를 이용하며 확인하는데, 이 때 3개의 출력이 가능하므로 3개의 AND 게이트를 이용하도록 한다.Part 3. 7-segment ... SN7447APinout Logic symbolTruth Table? ... 74HC08Pinout Functional DiagramTruth TableINPUTOUTPUTnAnBnYLLLLHLHLLHHHLogic symbol?
    리포트 | 9페이지 | 1,500원 | 등록일 2017.03.09
  • Spectroelectrochemical study of Benzyl Viologen
    1.1V/ direction of scan : negative/ scan rate : 0.1V/s / sweep segments : 2 / quiet time(sec) : 10 ]수용액 ... 각각의 실험 전에, 용액은 stirring bar를 이용해 섞어준다. ... 전형적인 cyclodextrin은 구성하는 분자 수에 따라 α, β, γ 로 나뉘어지는데 각각 6개, 7개, 8개의 membered ring을 가진다.
    리포트 | 9페이지 | 2,500원 | 등록일 2017.07.29 | 수정일 2017.08.12
  • 마이크로프로세서 예비보고서 8장 - 인터럽트 이해하기와 2자리 초시계 만들기
    시간을 표시하는 기능과 시간을 만들어 내는 기능, 부가적인 기능이 필요시간을 표시하는 기능: 7-segment를 사용하는 경우 scanning에 의해서 주기적으로 표시시간을 만들어내는 ... 이것을 따로 작성하려면 귀찮으므로 표시기는 DISP_1, DISP_2 변수값을 7-segment에 나타내도록 하고 주프로그램에서 현재 시간을 표시하려고 하면 현재 시간이 들어있는 변수를 ... 실험 8.
    리포트 | 14페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험17) 주파수 카운터 설계
    코드5 - temp_1k, temp_10k 값을 7-segment로 출력하는 블럭? 코드6 - temp_100k, temp_1M 값을 7-segment로 출력하는 블럭? ... 《 실험17 결과 보고서 》조제출일학과/학년학번이름실험 (1) 실험에서 제시한 회로를 다음 지시에 따라 수정 설계하라.1. 레지스터 reset를 1비트 신호로 바꾸고,2. ... 마지막으로 temp_1k, temp_10k, temp_100k, 그리고 temp_1M값을 7-세그먼트로 출력한다.? 코드1 - 선언부?
    리포트 | 10페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 동기식카운터 비동기식카운터(실험 15, 16번)
    이것을 첫번째 실험에서 확인 할 수 있다.두번째 실험에선 결과를 7-segment에 연결하여 값을 출력하게 되는데 여기서 처음에 R0(1),R0(2)에 1을 주는 이유는 74LS90의 ... segment 표시기R0(1)R0(2)R9(1)R9(2)CKQ _{D}Q _{C}Q _{B}Q _{A}7-segment100000000downarrow (1)0001100downarrow ... 또한 출력이 1010일 경우 c가 나오는 것은 데이트시트를 통해 알 수 있다.동기식 카운터BCD동기 카운터 출력PRCLRCK7-segment 표시10011downarrow (1)111downarrow
    리포트 | 4페이지 | 1,000원 | 등록일 2014.10.11
  • 내과 케이스(폐암)
    Possibly metastatic nodule in LUL anterior segment.5.Diffuse bone marrow hyperplasia.6.Most likely reactive ... 보통 BCG나 Corynebacterium Parvum이 실험적으로 사용되고 있다. ... 문헌 고찰1)폐암의 정의2)폐암의 발생률3)폐암의 사망률4)폐암의 위험인자5)폐암의 병태생리6)폐암의 증상과 징후7)폐암의 진단과 치료8)폐암의 간호2.
    리포트 | 23페이지 | 2,000원 | 등록일 2019.01.30 | 수정일 2019.04.17
  • 디지털회로 실험 7-세그먼트 결과 레포트 (시뮬레이션)
    두 번째 실험은 BCD/7-segment 디코더 드라이버를 연결 하고 7-segment LED를 연결해서 숫자를 확인하는 실험이었다. ... 이 실험으로 BCD/7-segment디코더 드라이버에 대해 알 수 있었다. ... 7-segment 시물레이션우 선 순 위 점 검 그 림첫 번째 실험은 인코더를 설계하여 입력이 0,1,2,3일경우에 LED의 불이 들어오는지 확인하는 실험이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.09
  • 아주대 논회실 실험8 결과보고서
    세번째 실험(1,2통합), 7-segment 표시기를 갖는 BCD Counter1) 실험과정 및 결과? ... 이때, 7447의 출력값을 7 segment에 알맞게 연결하여 주고, COM에 알맞은 핀을 연결해주면 우리가 원하는 대로 클럭신호가 들어갈때마다 7-segment에서 숫자를 하나하나씩 ... 74HC90과 74HC47, 7-segment 이용하여, 0~9까지 BCD 카운터를 설계하기.0~9까지 7 segement를 사용하여 표현한 결과값.클럭주파수를 1HZ로 두었기 때문에
    리포트 | 4페이지 | 1,500원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • Application Design Ⅰ7-segment and Piezo Control
    Pre-Lab Report- Title: Lab#08 Application_Design_Ⅰ @ 7-segment and Piezo_Control-담당 교수담당 조교실 험 일학 번이 ... Materials (Equipment’s, Devices) of this Lab다. Matters that require attentions3. ... Materials & Methods (실험 장비 및 재료와 실험 방법)가. 실험을 통해 구하고자 하는 데이터와 이를 획득하기 위한 실험 순서나.
    리포트 | 16페이지 | 1,000원 | 등록일 2016.04.06
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:30 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대