• 통큰쿠폰이벤트-통합
  • 통합검색(929)
  • 리포트(899)
  • 시험자료(16)
  • 자기소개서(11)
  • 논문(3)

"7segment실험" 검색결과 301-320 / 929건

  • 문자 출력기 설계 제안서
    이론조사 7-segment - 세그먼트 방식의 숫자 표시 소자이다 . - 최대 7 개의 세그먼트로 숫자를 표시하는 방식이다 . -7 개 모두 통전하면 8 의 숫자가 된다 .2 . ... Digital Logic 과 7-segment 를 이용한 설계 제안서Contents 01 설계목표 및 배경 02 이론조 사 03 제작과정 04 예상비용1. ... segment 를 통해 출력3.
    리포트 | 33페이지 | 4,000원 | 등록일 2018.03.04
  • 디지털공학실험 06. 조합논리회로응용 결과
    < 조합논리회로 응용 결과보고서 >실험사진[ 7-segment LED 회로 6, 8, 3 점등 사진 ]결과보고 및 검토 / 고찰 및 토의이번 실험에서는 회로에서의 스위치 사용과 애노드형 ... 7-segment LED의 사용, 또 4Bit의 입력(S0, S1, S2, S3) 마다 7-segment 표시기의 (a, b, c, d, e, f, g) 출력으로 변환해주는 디코더의 ... 사용까지 학습하였다.그리고 그것을 통해서 조교님께서 잘 알려주신 덕분에 정확하게 회로로 구현할 수 있었고, 애노드형 7-segment LED소자, 디코더를 통해 4 Bit의 입력(
    리포트 | 1페이지 | 1,000원 | 등록일 2017.06.29
  • [아날로그및디지털회로설계실습A+] 7-segment Decoder 회로 설계 예비 레포트 입니다
    아날로그 및 디지털 설계 실습11# 7-segment Decoder예비 레포트설계실습 11. 7-segment / Decoder 회로 설계1. ... segment를 이용한 7-segment 구동 회로를 설계하라.- 74LS14 : anode 공통형. 7-segment 입력단자로 0을 출력.-> 각 출력단자에 인버터(inverter ... 목적 : 7-segment와 decoder를 이해하고 관련 회로를 설계한다.2.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.06
  • 심전도 실습 보고서
    피검자는 작은 칸으로 2칸을 차지하였으므로 0.08초가 걸렸으며 이는 정상범위에 속한다.4) ST segment : ST 분절(ST segment)은 QRS complex가 끝나는 ... 그래프에서 피검자의 ST segment는 작은 칸으로 약 두 칸을 차지해 0.08 sec이므로 정상범위에 속한다. ... 실험자의 RR의 거리는 작은 눈금 23~24칸이었고, 심전도 용지의 작은 눈금 한 칸이 0.04초이기 때문에, 0.92~0.96 sec( 23~4칸 * 0.04 )에 한 번씩 심장박동이
    리포트 | 5페이지 | 1,500원 | 등록일 2019.07.02
  • AVR I/O Control - 7 Segment Control
    / 8주차 실험 AVR I/O Control//// 실험 2 7-segment count & shift//////////////////////////////////////////// ... / 8주차 실험 AVR I/O Control//// 실험 1 7-segment count//////////////////////////////////////////////////// ... / 8주차 실험 AVR I/O Control//// 실험 3 7-segment count 0 to 99////////////////////////////////////////////
    리포트 | 19페이지 | 2,000원 | 등록일 2010.10.16
  • 디지털시스템실험 최종프로젝트
    표현output [7:0]oS_COM; // 8ARRAY 7segment에서 자릿수 표현output [3:0]R,G,B; //LAMP의 색을 결정할 인자output SERVO; / ... 앞에 두자리는 허수로 뒤에 네자리만 설정된 비밀번호와 맞으면 문이 열린다.- 보안기능 : 보안을 위해 입력한 번호가 7segment와 일치하지 않도록 설정하였다.3. ... 8자리의 7segment를 구현햇다.- PIEZO로 경고음을 구현했다.- SERVO MOTOR로 도어락을 구현했다.- RESETN으로 도어락을 초기화시키는 문을 구현했다.- LAMP로
    리포트 | 16페이지 | 2,000원 | 등록일 2018.01.03
  • 시립대 전전설2 [9주차 예비] 레포트
    /1213798/5) https://www.fpga4student.com/2017/09/seven-segment-led-display-controller-basys3-fpga.html ... )(7)L(8)(9)(10)실험 순서프로젝트 생성 -> Source 작성 -> Synthesize-XST, Implement Design Compile -> 핀 설정 -> Implement ... 전자전기컴퓨터설계실험 ⅡPre report9주차: Display Control1. Introduction (실험에 대한 소개)가.
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 논리회로실험 결과보고서8 Counter
    사진은 0부터 9를 표현한 7-segment를 순서대로 나타내었다.실험에 대한 고찰? ... 사진은 클락에 따른 변화를 순서대로 나타내었다.Part 3-2. 7-segment 표시기를 갖는 BCD Counter74HC47과 7-segment를 이용하여 만든 BCD Counter이다 ... Part 3은 7-segment 표시기를 갖는 BCD Counter에 관한 실험이었다. 3-1에서는 74HC90을 통해 Counter를 구성하였으며, 3-2에서는 74HC47과 7-
    리포트 | 6페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 마이크로컴퓨터(시간지연함수를 이용한 스위치 디바운싱) 프로젝트 과제/ 레포트
    이론: 이번 실험은 PORT-C를 출력으로 설정하고 7-segment 형태에 맞게 회로를 연결한 뒤 입력 핀으로 설정된 PORTD의0번 pin을 통하여 스위치 입력을 받아 스위치가 ... segment LED : 7-세그먼트 LED는 공통 캐소드 또는 애노드 구조를 갖는 8개의 LED가 연결되어 있기 때문에 점을 포함한 숫자를 디스플레이하기에 적합한 소자이다. 7-세그먼트 ... segment에 현재 저장되어있는 number 변수안에 저장되어 있는 값을 Display한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2018.08.19
  • 디지털시스템실험 3주차 결과보고서
    Binary to BCD converter(1) 코드 및 설명module binary2BCD(segment, ten, one //변수 설정);input [7 : 0] segment ... //reg를 사용하면 wire과는 다르게 always에서 reg값 변경이 가능reg [3 : 0] one;integer i; //for문에 사용할 변수 I를 정의always @(segment ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서2017 디지털 시스템 설계 및 실험 KECE210 전기전자공학부실험제목① Decoder
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.02
  • 디지털회로실험 교안.hwp
    사용부품- TTL 게이트- 7 segment 디코더/드라이버5. 실험방법 및 순서1.1. ... 실험 목적본 실험을 통해? 인코더와 디코더에 대해 알아본다.? BCD/7-segment 디코더 드라이버에 대해 알아본다.2. ... 앞 실험 5.1번에서 구성한 회로의 출력을 BCD/7-segment 디코더/드라이버 (74LS47)의 입력에 연결하라.
    리포트 | 79페이지 | 1,000원 | 등록일 2017.10.23 | 수정일 2020.11.26
  • 디지털 실험 텀프로젝트(vending machine)
    출력된다.- 반환버튼을 누르면 출력 7-segment display에 0이 출력되고 반환LED에 불이 켜진다.합성/분석관련 기술지금까지 실험했던 내용들을 토대로 응용을 하고자 생각해보니 ... segment display에 출력.입력된 돈이 음료 가격보다 작다면 잔액부족 LED에 불이 켜지게 한 다음 입력한 돈을 그대로 출력 7-segment display에 출력. ... 우리에게 친숙한 자판기를 설계하면 좋겠다는 생각이 들었다.입력된 금액을 저장해주는 카운터와 계산된 금액을 출력하는 7-segment display를 이용한다.
    리포트 | 3페이지 | 4,000원 | 등록일 2016.11.30
  • TTL IC를 이용한 디지털 시계
    그리고 그 결과를 확인하기 위하여 74LS47 디코더 회로를 이용하여 7-segment를 연결하고 각 Q출력에 LED와 저항을 연결하여 실험하였다. ... 속도의 10배 빠른 속도로 결과 값이 나왔다. 59까지 나타나면 00으로 클리어 되었고 출력을 나타내는 LED에서도 7-segment에 나타나는 숫자를 2진법으로 나타낸 값이 나왔다 ... 카운터에서 7-Segment가 다음과 같이 표시되었을 때 카운터의 출력값을 구하여라.표 18-37 segment 표시10142974LS39074LS39074LS39074LS39074LS39074LS390QD-QAQD-QAQD-QAQD-QAQD-QAQD-QA00010
    리포트 | 2페이지 | 1,000원 | 등록일 2012.07.09
  • Xilinx-ISE 응용 레포트 (7-segment)
    그리고 디지털 논리회로 실험 과목도 7-segment를 응용하는 실험이 있었기 때문에 더더욱 주제로 하고자 하였다.이론Seven-segment display는 표시 장치의 일종으로, ... 디지털논리회로Xilinx-ISE 레포트주제 : Seven-segment분반: 2분반 화수목8Report주제로 7-segment를 선택한 이유는 저번에 multisim을 이용하여 7- ... 사실 이전에도 BCD-7segment과제를 했었기 때문에 더욱 실패확률이 낮았을 것이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    실험 진행 순서- ALTERA 프로그램을 사용하여 Clock Dividirol Part, 7-segment power control Part의 회로를 모두 구성한다.- 구성된 각 파트를 ... [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment ... ]와 Clock signal을 받아 7-segment 출력에 알맞은 형태로 변형하는 [Segment Clock Counting Part]와 IC set를 지나온 신호들을 7-segment
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    Application Design 7-segment and Piezo Control실험 날짜2016. 11.07학번이름Professor조교IntroduceObject7-Segment를 ... 더 낮은 음계일수록 저주파이므로, 주기가 더 긴 것을 확인할 수 있다.Reference교안 – Verilog HDL 실습 Lab#08 Application Design @ 7-segment ... [실험 2] Dynamic 7-Segment ControllerAdd SourceSource Codeclk이 high가 될 때마다 cnt_scan을 1씩 count한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 아주대학교 논리회로실험 실험8 예비보고서
    3-1. 7-segment 표시기를 갖는 BCD 카운터회로를 위와 같이 구성한다.7490을 이용한 BCD Counter를 통해 0~9 까지의 값을 얻고 스위치를 이용해 2, 3번 ... others only if qualified by training or experience, or after full disclosure of pertinent limitations;7. ... 실험 부품74HC7674HC0874HC90SN7447A4. 실험 방법 및 결과 예상실험 1. 2단 2진 카운터 ? 비동기식 카운터위와 같이 회로와 오실로스코프를 구성한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 마이크로프로세서 결과보고서 8장 - 인터럽트 이해하기와 2자리 초시계 만들기
    그러나 프로그램으로도 오차를 최소화 할 수 있으며, 이를 구현해 봅시다.; DISPLAY ROUTINEDISP; 7-segment의 표시 숫자가 2자리이므로 두자리를 순차적으로 표시해 ... 00 ~ 99 까지의초시계를 구현하였습니다.3) 0.5초 간격으로 dot가 깜빡거리게 만들어 보시오.; DISPLAY ROUTINEDISP; 7-segment의 표시 숫자가 2자리이므로 ... key_IN 변수에 값 넣기; (00: key값 없음, 01: 1번 key, 02: 2번 key)RETURNDISP2; < 다음 들어올 때>; D_1SEC 변수 내용이 LSD 7-segment
    리포트 | 14페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    제작 목적실험 실습을 통해 배운 여러 이론들인 게이트, 플립플롭, 7segment, 타이머, 디코더, 카운터 등을 이용하고 새로운 이론과 새로운 소자들과의 융합, 결합을 통해 기존의 ... 커패시터 양단의 전압은 threads hold로 사용된다.지연 시간 W =1.1 *RC 식으로서 구할수 있다.(5)74ls47 7segment-74ls47의 진리표BCD코드 4자리를 ... 회로7. 결과1.
    리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • Term Project (7세그먼트 실험) 보고서
    실험목표7세그먼트(FND)에 대해 알아보고 7세그먼트 디코더로 작동하는 논리회로를 구성하여 동작을 실험하고 결과를 관찰한다.2. ... Term Project- 7세그먼트 실험 -과 목: 디지털회로실험 및 설계교수명:학 과:조번호:학 번:이 름:1. ... 실험이론1) 7세그먼트(FND)7세그먼트는 LED 8개가 내장되어 있는 소자로, 7개의 LED를 조합하여 숫자와 문자를 표시하고 1개의 LED로 점을 표시한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2013.05.07
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:15 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대