• 통큰쿠폰이벤트-통합
  • 통합검색(929)
  • 리포트(899)
  • 시험자료(16)
  • 자기소개서(11)
  • 논문(3)

"7segment실험" 검색결과 441-460 / 929건

  • 부경대 디지털회로실험 텀프로젝트(5진 동기식 업 카운터)
    입력들0000000[표1-2]BCD-to-7 세그먼트 디코더에 대한 진리표1.3 FNDFND는 7-segment라고도 불리며 숫자를 표시하기 위해 사용된다. anode방식과 cathode방식이 ... 디지털회로실험텀 프로젝트 보고서[J-K 플립플롭을 이용한 동기식 카운터의 7-세그먼트 출력]조 :분 반:학 과:전자공학과학 번:이 름:담 당 교 수:1. ... 이론1.1 J-K 플립플롭R-S 플립플롭에서 S=1, R=1인 경우 불능 상태가 되는 것을 해결한 논리회로다.
    시험자료 | 5페이지 | 1,500원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • 7.폴리우레탄탄성체의형성
    그리고 우레탄 결합의 수소결합을 고려하면, 매우 다양한 유연성과 경직성을 가진 PU가 생성되고 또 디자인할 수 있게 됩니다.soft segment : 폴리에테르나 폴리에스터 디올의 ... 따라서 탄성이 더욱 증가한다.7. ... 구조는 일반적으로 사슬이 유연합니다.hard segment : 방향족 고리 구조를 가진 다이아이소사이아네이트와 수소결합을 할 수 있는 우레탄 결합은 경직된 부분입니다.* 우레탄 생성
    리포트 | 3페이지 | 1,500원 | 등록일 2014.11.06 | 수정일 2024.07.07
  • SK그룹 SK 하이닉스 설계직무 2017년 상반기 공채 최종합격 자소서(자기소개서)
    특히, VHDL을 이용하여 7 segment 동작을 위한 다양한 실습을 진행 했던 논리회로설계 과목의 프로젝트는 가장 흥미 있고 열정을 다 할 수 있는 프로젝트였습니다. ... 잘 모르는 아두이노를 공부하면서도 먼저 열심히 하는 제 모습을 보며 기특했는지, 팀원들도 하나라도 더 가르쳐 주고 같이 공부하고 실험 하기 위해 노력하는 모습으로 바뀌기 시작했습니다.그 ... 결과, 저희는 3가지 컨셉의 skin touch detector를 만들어 발표할 수 있었고, 저도 교수님께 “Kim, Yo다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2017.06.09
  • [A+ 예비보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    type 7-segment LED(1개)저항 : 330Ω (7개)4. ... 실험예상결과실험1실험2실험3실험46. ... 실험5.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • 복호기,부호기 관련 실험 예비보고서
    -7-segment 디코더는 BCD로 된 10진수를 입력하여 일곱 개의 출력을 내보내는 회로로 일반적으로 10진수를 디스플레이 하기 위해 사용된다. ... 이 때 필요한 segment 수는 7개이다.digitD3D2D1D0abcdefg000000000001100011001111200100010010300110000110401001001100501010100100601101100000701110001111810000000000 ... 참고자료/사이트디지털공학실험(학교 교재)-내용파악을 위하여http://en.wikipedia.org/wiki/Main_Page-위키피디아사전http://blog.naver.com/shinbowling
    리포트 | 3페이지 | 1,000원 | 등록일 2014.05.28
  • 전전컴설계실험2-10주차 결과
    Lab2는 음계주파수와 Piezo, 7 Segment를 이해하고 Piezo를 이용하여 주파수를 대역별로 음계를 인가하면서 그리고 버튼을 눌렀을 때 7 segment에 숫자가 표시되면서 ... Segment – 7bitOpearation 각각의 1~8의 버튼에 도레미파솔라시도의 음계를 인가버튼을 눌렀을 때 7 segment에 숫자가 표시되면서 Piezo에서 해당하는 소리를 ... Segment – 7bitOpearation 각각의 1~8의 버튼에 도레미파솔라시도의 음계를 인가버튼을 눌렀을 때 7 segment에 숫자가 표시되면서 Piezo에서 해당하는 소리를
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • [디지털회로실험] 중간 프로젝트(Mid-Term Project) 가위바위보 게임 제작
    그래서 임의로 가위, 바위, 보의 모양을 정해서 이를 7-segment에 출력하기 위하여회로를 짜고, 출력들을 7-segment에 그대로 연결시켜주면 LED에 출력되도록 위의 진리표대로설계했다 ... 반드시 3개의 7-segment 사용.? 가위바위보의 현재 상태를 표시할 수 있는 Segment,이긴 횟수와 진 횟수를 나타낼 수 있는 Segment 각각 하나씩 사용.? ... 《 디지털 회로 실험 》Mid-Term Project 최종 보고서? 가위바위보 게임 제작 ?
    리포트 | 11페이지 | 4,000원 | 등록일 2014.10.21
  • [예비]실험8. Counter
    실험3-2. 7-segment 표시기를 갖는 BCD Counter- 위와 같이 74HC47을 이용하여 회로를 구성하고 7 segment display를 이용하여 실험3에서 얻은 0~ ... 9에 해당하는 2진수 결과값 ABCD에 대한 7segment 결과 값을 관찰한다.BCD 코드7 segment display00000 (= a, b, c, d, e, f)00011 ( ... 실험3-1. 7-segment 표시기를 갖는 BCD Counter- 74HC90 소자를 이용하여 위와 같이 회로를 구성하고 R0(1)과 R0(2)가 GND에 연결되고 나서 clock신호에
    리포트 | 5페이지 | 1,500원 | 등록일 2013.09.28
  • 논리회로실험 7주차 예비보고서
    목적- Encoder와 Decoder의 기능을 이해하고, 특성을 실험으로 익힌다.- 7-segment LED decoder를 이해하고, 특성을 실험으로 익힌다.2. ... 예 비 보 고 서7주차Decoder / Encoder/ 7-segment LED분반 : 0성명 : 000학번 : 2010000실험일: 0000.00.001. ... 실제 진리표는 왼쪽이 표와 같이 나타난다.③ 7-segment LED세그먼트는 디지털 신호에 의해 발광하는 LED가 7개의 세그먼트에 사용되는 디스플레이로 숫자를 표시 할 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 의료용 고분자재료 기말대비 정리
    의료용 고분자재료 final 대비 summary제7장. ... : δ1 ≅ δ2, 고분자 segment와 용매와의 2차간력 strong. ... 방법단량체와 개시제, 분자량 조절제, 용매(물)를 투입pros용액 중합에서 용매를 사용하여 벌크 중합의 단점(반응열, 열확산)을 보완Con용매의 값이 비싸고 특히 인화성이 있어 실험
    시험자료 | 8페이지 | 1,500원 | 등록일 2018.10.31
  • 전자공학과 디지털 회로 및 실험설계 텀프로젝트 간이 전압계입니다.
    사용부품 및 공구▶ 만능기판▶ 납땜인두▶ 와이어스트리퍼▶ 프로브▶ AA Size 건전지▶ 스위치▶ 7-segment FND▶ NOT Gate▶ Decoder IC (74LS47)▶ ... 디지털회로 실험 및 설계Term Project 최종 보고서학 과 : 전자공학과과 목 : 디지털회로 실험 및 설계팀 원 :담당교수 :보고서 제출일 : 2015년 6 월 7 일목차1. ... 실험원리5-1 실험 부품 설명5-2 동작 원리6. 실험결과1. 프로젝트 주제10V 이하의 전압을 측정 할 수 있는 간이 전압계2.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.06 | 수정일 2017.05.23
  • 서강대학교 마이크로프로세서응용실험 9주차결과
    7-segment 하나씩 나타나게 된다.● Program 2Line20 ? ... 그것은 7-segment가 low일 때 켜지기 때문이다. FND_COM에는 dsel값이 들어간다. ... 이런 설정들이 다 끝나면 line28에서 timer를 시작하도록 설정한다.7-segment를 살펴보면, Fdata를 통해서 data 값을 표현하고, FND_COM을 통해서 표현할 segment
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 아주대 논리회로실험 counter 결과보고서
    또한 7-segment를 사용하지 않고 그냥 LED를 사용하여 결과 값을 확인 하였다. ... 실험에서는 ‘7-segment 표시기를 갖는 BCD Counter’라고 써있지만 그냥 10진 카운터를 만든 것과 같다고 조교님 께서 설명 해 주셨다. ... 또한 실험 2는 실험 1의 경우에서와 달리 A와 B가 1,1일 경우가 존재하지 않는 동기식 카운터이다.실험 3) 7-segment 표시기를 갖는 BCD Counter- 7490을 이용한
    리포트 | 9페이지 | 1,000원 | 등록일 2013.11.29
  • 논리회로실험 15주차 결과보고서
    )이 입력으로 들어와 seg_hex0과 seg_hex1으로 출력되며 7segment LED를 위한 신호로 변환해주는 블록이다.5. ... FPGA에서 Clock divider 없이 프로그래밍 했을 경우 눈으로 변화를 감지 못할 정도로 빠르게 움직여 마치 모든 7-segment-LED가 모두 켜져 있는 결과가 나오므로 ... , 일반적인 clock 신호가 아닌 1번 블록에서 출력으로 나온 i_clk이 들어가고, 7 segment LED driver로 가는 up_cnt_hex0와 (이 신호를 통해) Encounter
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • #10 디지털실험 예비
    HEX6, HEX5, HEX4, HEX3, HEX2, HEX1, HEX02.동작기술segment에 HELLO의 글자가 오른쪽에서 왼쪽으로 이동하게 만드는 실험이다. ... HEX6, HEX5, HEX4, HEX3, HEX2, HEX1, HEX02.동작기술segment에 HELLO의 글자가 오른쪽에서 왼쪽으로 이동하게 만드는 실험이다. ... 현재 상태를 Q에 넣어 segment로 나타내었다.Part41. 설계규격RESETn, w1, w0, CLK, HEX02.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • [아주대] 논리회로실험 8장 예비(Counter)
    3-2 >위와 같이 74HC47을 이용하여 회로를 구성하고 7 segment display를 이용하여 실험3에서 얻은 0~9에 해당하는 2진수 결과 값 ABCD에 대한 7segment ... BCD-TO-SEVEN-SEGMENT DECODERS/DRIVERS라고 하며 7 segment로 0부터 9까지 표현할 수 있다. ... 예를 들면 0110이 들어온 경우 c, d, e, f, g가 출력되어 7 segment display를 이용하면 오른쪽 그림과 같은 6의 모양을 갖는 출력 값을 얻을 수 있다. 3,
    리포트 | 7페이지 | 2,000원 | 등록일 2013.09.25
  • 실험8결과 Counter
    이를 표시하기 위해 7-segment를 사용하였다. ... 이 BCD code는 7447을 지나며 7-segment를 위한 신호로 decode된다.4) SIPO(Serial Input Parallel Output)실험 7에서 다루었던 Shift ... 이 회로에서 7447은 정확히 decoder가 하는 역할을 맡았음을 확인할 수 있다. decode된 신호는 7-segment로 들어가서 Decimal 형식으로 표시된다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.05.13
  • 메카트로닉스 실습 보고서-60카운트와 모터제어,74HC595
    segment를 연결시킨다.⑥ battery를 연결시킨 후 00~60까지의 숫자가 나타나며 다시 00으로 돌아가는지 확인한다.(60카운트와 모터제어 프로그램에 쓰인 코드)#include ... ④ 7805 ⑤ 노트북⑥ R 330Ω x 10 ⑦ R 2㏀ x 10 ⑧ CodeVision AVR ⑨ 7-Segment ⑩ 회로선⑪ BCD SW ⑫ wire stripper ⑬ 노트북 ... 실험 개요(1) 실험 목적- ATmega16의 동작원리와 구조 및 특성을 이해하고 이를 통해 직접 코드비전 AVR(Code Vision AVR)을 이용하여 프로그램을 작성한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.10.29
  • 디지털논리회로 FINAL PROJECT - 공중전화
    segment과제(4)에서 타이머에서 출력된 카운트다운이 표시되도록 함 등.Register(330Ω100Ω820Ω6.8kΩ)7-segment 나 LED에 과도한 전력이 공급되지 않도록 ... segment로 변환해주는 데에 이용됨7432OR과제(3)의 Phone Number 장치의 74192에서 나오는 특정한 Binary 코드(전화번호)만 JK플립플롭을 통과하도록 함 등.NE555과제 ... FINAL PROJECT실험(1) : 디지털 논리회로수업 : 수요일 1,2,3,4교시교수 : 오이석 교수님, 김준식 조교님소속 : 공과대학 전자전기공학부학번,이름 : B015238
    리포트 | 10페이지 | 1,500원 | 등록일 2014.05.15 | 수정일 2014.06.03
  • 디지털시계 프로젝트, digital clock 프로젝트
    프로젝트에서는 1kΩ을 사용하였기 때문에 최대전류가 아닌 적당한 값의 전류를 흘려줄 수 있었다.③ 7-segment(common-anode type)7세그먼트 LED에 데이터를 표시하는 ... 실험(4)프로젝트 보고서디지털시계1. ... 개요(1) 실습 배경실험(4)에서 배운 AVR의 이론을 이해하고 이를 이용하여 마이크로프로세서 AT89S51 칩을 사용하여 Digital Clock을 제작한다.
    리포트 | 34페이지 | 1,500원 | 등록일 2017.04.02
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대