• 통큰쿠폰이벤트-통합
  • 통합검색(682)
  • 리포트(636)
  • 방송통신대(22)
  • 시험자료(18)
  • 논문(4)
  • 서식(1)
  • 이력서(1)

"Register" 검색결과 341-360 / 682건

  • 사회방언의 개념과 예시
    1. 즐겨 쓰는 사회 방언 10가지1. 졸라 ; 매우/ 많이; 특정 집단이기 보다 일반적으로 10~2-대까지의 젊은 연령층이 폭 넓게 사용한다. 처음에는 ‘-나’욕설과 같은 의미로 비속어로 쓰이다가 소리가 순화된 뒤 많이 혹은 매우의 뜻으로 부정적인 의미 없이 쓰인다...
    리포트 | 2페이지 | 1,000원 | 등록일 2010.07.14
  • ARM으로 배우는 임베디드 시스템(임베디드_4장 과제 연습문제)
    연습문제4장 - Programmer's 모델1. ARM 프로세서에서 지원되는 명령어의 종류는 어떤 것들이 있는가?일반적으로 32비트 ARM 명령과 16비트 Thumb 명령어가 있으며 근래 나오는 ARM11 프로세서 중에는 Thumb-2 명령을 지원하는 프로세서도 있다...
    리포트 | 4페이지 | 1,500원 | 등록일 2010.05.23
  • BCS-Lab3
    1-3. 레지스터의 종류이러한 명령어를 수행하는 CPU의 레지스터의 종류에는 여러가지가 있는데 다음과 같다.- General Purpose Register : 계산 결과의 임시 저장, 산술 및 논리 연산, 주소 색인 등의 여러 가지 목적으로 사용될 수 있는 저장 공간...
    리포트 | 4페이지 | 1,500원 | 등록일 2011.07.06
  • Quartus 실습 - 7segment, Mux, Adder, Decoder
    Report< Quarus 실습설계 >1. 6-bit Full_Adder (ripple carry adder)2. 6-bit Shift Register3. 7-Segment Decoder4. 2 x 1 Multiplexer5. 4-bit 카운터과 목 : 디지털시스템설계..
    리포트 | 9페이지 | 1,500원 | 등록일 2012.03.28
  • 2012년 1학기 디지털논리회로 기말시험 핵심체크
    제1장 컴퓨터와 디지털 논리회로1. 디지털 시스템 (1) 시스템의 정의(2) 아날로그와 디지털1) 데이터의 표현 방법① 아날로그 방식: 데이터를 연속적인 값(continuous value)으로 표현 ② 디지털 방식: 연속적인 값을 근사하여 이산적인 값(discrete..
    방송통신대 | 68페이지 | 7,500원 | 등록일 2012.06.20
  • led로 문의 동작을 표현하는 엘레베이터 구현(verilog HDL,FPGA board)
    1. Elevator 소개Elevator 특징-5층 엘리베이터 시스템-상태는 reg [1:0] status로 "11" 문이 열리고 닫히는 상태 "10" 상승상태 "01"하강상태 "00"정지상태로 나누고 각각 상태일 때 외부 입력이나 내부 입력이 들어올 때 다음 상태를..
    리포트 | 34페이지 | 3,000원 | 등록일 2013.12.28 | 수정일 2013.12.30
  • MAXPLUS로 예를 든 조합회로와 순차회로
    조합논리회로와 순차논리회로의 종류 및 특징1. 조합논리회로(1) 조합논리회로의 정의 및 특징조합회로는 입력과 출력을 가진 논리 게이트들의 집합이다. 출력의 값은 입력한 값 0과 1의 조합에 의해 결정된다. 따라서 이 회로는 기억 능력이 없다는 것이 특징이다. 조합 회로..
    리포트 | 15페이지 | 1,000원 | 등록일 2010.06.11
  • AVR( I/O PORT)_SW를 이용한 숫자 표현
    I/O PORT(1) I/O란? I/O는 Input/Output 기능을 말하는 것으로 입력 및 출력을 지원할 수 있도록 회로가 내장되어 있으며 이를 1:1 외부 PIN으로 배치해두었다. 예를 들면 현재의 개인 PC의 키보드, 마우스 등은 입력장치에 해당되고 모니터, 프..
    리포트 | 5페이지 | 1,000원 | 등록일 2011.12.09
  • 연세대학교 졸업연구 - Breast Cancer Detector by Impedance Analyzer
    Breast Cancer Detector by Impedance AnalyzerTaeyun Kim, Bobaro Chang, Jaejun ParkSchool of Electrical and Electronic EngineeringCollege of Engineering..
    리포트 | 89페이지 | 5,000원 | 등록일 2016.12.16
  • 16 bit Simple Microprocessor 구현하기
    PROJECT #1< 16 bit Simple Microprocessor >명령의 수행절차- 프로그램의 시작주소 -> 프로그램 카운터(PC)- 인출-실행 명령주기① PC 가 가리키는 곳으로부터 명령을 인출② PC 를 한 명령만큼 앞으로 ( PC 다음 instructi..
    리포트 | 34페이지 | 4,000원 | 등록일 2009.10.06
  • 순서논리회로의 기본정리
    순서 논리회로의 종류- 목 차 -1. 서 론2. 본 론1) 레지스터2) 카운터3. 결 론4. 참 고 문 헌1. 서 론순서(순차)회로(Sequential Circuit)는 임의의 시점에서의 출력 값이 그 시점에서의 입력 값과 회로의 내부 상태에 의해서 정해지는 논리회로이..
    리포트 | 6페이지 | 1,000원 | 등록일 2010.04.14
  • Basic Computer 설계
    1. 기본 컴퓨터의 하드웨어 요소 - 16비트의 4096 워드를 가진 메모리 장치 - 9개의 레지스터 : AR, PC, DR, AC, IR, TR, OUTR, INPR, SC - 7개의 플립플롭 : I, S, E, R, IEN, FGI, FGO - 2개의 디코더..
    리포트 | 20페이지 | 2,500원 | 등록일 2012.07.31 | 수정일 2017.11.27
  • 8051의 레지스트 정리
    ? 8051의 레지스터를 알아보기 전에 우선 8051의 전체적인 메모리의 구조를 알아보고 내부 메모리에서도 특수기능 레지스터에 대하여 자세히 알아 보도록 하자.(1) 8051의 메모리 구조Program MemoryData MemoryInternal Data Memory..
    리포트 | 7페이지 | 2,000원 | 등록일 2007.04.04
  • AD컨버터 예비보고서
    실험(4)예비보고서(9장 AD컨버터)1. 목 적ATmegra128 A/D 컨버터의 동작원리를 이해하고 각각의 레지스터 설정을 통해 A/D 컨버터 제어 방법을 습득한다.2. 관련이론2-1 A/D 컨버터 (Anolog-to-Digital Converter)A/D 컨버터는 ..
    리포트 | 10페이지 | 1,000원 | 등록일 2015.11.09
  • [5주차] flip flop
    Multiflex(Mux) 설계이 름 :실 습 조 : 7조실습날짜 :1. Purpose▶ Latch와 Flip-Flop의 차이점을 알고, VHDL을 이용해 간단한 Flip-Flop을 설계할 줄 안다.▶ D Flip-Flop의 간단한 작동원리와 구성요소에 대해 학습한다...
    리포트 | 17페이지 | 2,000원 | 등록일 2012.06.30
  • 8051의 구조및 제어에 관하여
    *8051의 구조 및 제어1.8051의 특징Atmel의AT89C51기준-제어목적에적합하도록설계된8비트마이크로콘트롤러-내부프로그램ROM:4kB-내부데이터메모리:256B=128BRAM+128B레지스터-4개의입출력포트:각포트는8비트-2개의16비트타이머/카운터:동작모드4개-1..
    리포트 | 13페이지 | 2,000원 | 등록일 2008.11.15
  • RF Mifare RC531 chip의 기본 특징과 정리
    1. Features1. Highly integrated analog circuitry to demodulate and decode card response2. Buffered output drivers to connect an antenna with minimum n..
    리포트 | 19페이지 | 5,000원 | 등록일 2010.03.09
  • Cafe shop manual & APC CERTI3. 2 term ASSESSMENT Project management
    PROJECT MANAGEMENT REPORT:MICKEY CAFÉ SHOP(To Complete the Subject of RESOURCE MANAGEMENT)|||Presented by|||Certificate III in BusinessFELIZ CAFÉ SHOP..
    리포트 | 12페이지 | 1,000원 | 등록일 2013.07.18
  • 8051의 Timer 및 Counter Test소스코드 및 원리
    2009-01-09 - 1 - Timer / Counter Test1. 실험목적 1-1 개 요 2. 타이머 / 카운터 제어 레지스터 2-1 TMOD 레지스터 2-2 TCON 레지스터 3. 구성도 3-1 TMOD 0 3-2 TMOD 1 3-3 TMOD 2 3-4 TMOD..
    리포트 | 20페이지 | 1,500원 | 등록일 2009.01.09 | 수정일 2015.11.05
  • 계산기 설계
    Report #11. 개요2. 계산기 설계가. 데이터 처리장치1) 레지스터 파일2) 함수장치가) ALU나. 제어장치3) 명령어4) 제어워드과목명디지털 시스템수업시간학번이름담당교수1. 개요.=> 계산기의 기능을 갖는 간단한 컴퓨터를 설계한다.=> +, -, Count U..
    리포트 | 6페이지 | 2,000원 | 등록일 2012.05.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대