• 통큰쿠폰이벤트-통합
  • 통합검색(682)
  • 리포트(636)
  • 방송통신대(22)
  • 시험자료(18)
  • 논문(4)
  • 서식(1)
  • 이력서(1)

"Register" 검색결과 281-300 / 682건

  • 부경대 기계자동차공학과 마이크로프로세서 응용 및 실험 실험과제 4
    1. 설계과제의 목표 및 제한조건기초 오실로스코프 제작아날로그 전압 값을 읽어 그 값을 PC에서 확인 할 수 있게 하는 프로그램을 작성제한조건준비물 : 가변저항, 마이컴, 직렬통신선, LED입력전압 범위 : 0~5V소수점 2자리까지 표시0.5sec마다 PC로 데이터 전..
    리포트 | 13페이지 | 2,000원 | 등록일 2012.12.24
  • 타이머와 카운터 예비보고서
    1. 실습 목표- 타이머0의 동작원리 이해- 타이머0 제어 방법의 습득(관련 레지스터 이해)- 오버플로우 인터럽트 제어 프로그램 방법 습득- 타이머/카운터 활용 방법의 습득(관련 레지스터 이해)- 디지털 시계(초/분) 구현 방법 이해2. 관련 이론1) 타이머/카운터- ..
    리포트 | 8페이지 | 1,000원 | 등록일 2015.11.09
  • MCU의 역사 및 ATmega128의 구동 원리
    1. 마이크로프로세서의 역사AVR을 소개하기 전에 기본적인 프로세서의 역사에 대해 알아둬야 할 것이기 때문에 그것들을 먼저 소개할까 합니다.우선 컴퓨터의 중앙처리장치(CPU)가 가진 기능의 대부분을 하나 혹은 몇 개의 반도체 칩에 집적(Integration)해 놓은 것..
    리포트 | 5페이지 | 1,500원 | 등록일 2012.03.12 | 수정일 2016.03.10
  • 순차회로 설계 결과보고서
    과 목 : 논리회로설계실험과 제 명 : 순차회로 설계담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 5. 8논리회로설계 실험 결과보고서 #6실험 6. 순차회로 설계1. 실험 목표- 순차회로의 기본요소인 Latch와 F..
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.25
  • [컴퓨터과학과] 2015년 1학기 디지털논리회로 기말시험 핵심체크
    제1장 컴퓨터와 디지털 논리회로1. 디지털시스템 - 시스템의 정의: 입력과 출력을 갖는 검은 상자로서, 어떠한 목적을 달성하기 위하여 상호작용하는 구성요소들의 집합2. 디지털 시스템의 장점① 디지털시스템은 구성요소의 처리과정이 매우 정확하고 동작상태를 예상할 수 있으며..
    방송통신대 | 72페이지 | 9,000원 | 등록일 2015.05.22
  • [A+]atmega128 을 이용한 dotmatrix 회로도, 부품구성, 코드포함 도트매트릭스
    1설계과제 결과보고서- 8x8 Led Dotmatrix Dynamic 구동 -Using ATmega128본 보고서를 ‘마이크로프로세서 응용 및 실습’ 설계과제결과보고서로 제출합니다.담당교수 : 교수님222대 학 교전자공학과 학과장 귀하목 차Ⅰ. 서 론 ...........
    리포트 | 21페이지 | 5,000원 | 등록일 2014.09.26 | 수정일 2014.10.03
  • [컴퓨터 구조 Project] 신호등(Traffic light timing controller)
    Traffic light timing controller 전자공학과⊙ Contents Physical system 2-bit Register --- state diagram --- state transition table --- k-map --- 3 state coun..
    리포트 | 20페이지 | 2,000원 | 등록일 2014.08.17 | 수정일 2016.06.13
  • 인터럽트 예비보고서 마이크로컨트롤러
    3주차 실험(4) 예비보고서제 4장 Internal Memory의 이해제 5장 인터럽트제 4장 Internal Memory의 이해1. ATMega129 데이터 메모리 구조(1) ATMega128 내장 데이터 메모리AVR 마이크로컨트롤러는 2개의 메모리 공간(데이터 메모..
    리포트 | 37페이지 | 1,000원 | 등록일 2017.04.02
  • 타이머 설계 ( 추가 기능 포함 )
    Micro Processor 설계과제타이머 설계( 추가 기능 포함 )Timer Design2014년 12월 16일INDEX1. 서 론1.1. 설계 목표1.2. 설계 과제 선정1.3. Time table 및 임무 분담2. 관련 이론2.1. 인터럽트2.1.1. 인터럽트(i..
    리포트 | 30페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • [11주차] LCD
    과 목 : 논리회로설계실험과 제 명 : Digital Clock 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 : 3학년이 름 :제 출 일 :논리회로설계실험 - 7차 실습 과제7조 ( 유광위 / 박석호 )0. PurposeLCD test를 수행한다. LCD..
    리포트 | 10페이지 | 2,000원 | 등록일 2012.06.30
  • 프로젝트관리론 11장 REPORT
    프로젝트관리론 11장REPORT목차1)위험관리계획은 어떤 내용들을 포함하고 있는가?2)위험관리 싸이클을 기술하여라.3)위험관리계획의 구성요소들을 기술하여라.4)위험레지스터란 무엇인가?5)위험식별 도구와 기법들을 분류하여 보아라.6)브레인스토밍 기법과 명목그룹기법을 비교..
    리포트 | 5페이지 | 2,000원 | 등록일 2019.02.20 | 수정일 2021.09.26
  • Internal Memory의 이해, 인터럽트
    [실험이론](1) ATMega128 데이터 메모리 구조데이터 메모리는 크게 램과 롬으로 나뉠 수 있고 램은 그림에 보여진 것처럼 32바이트의 범용레지스터(0000번지에서 001F번지), 64바이트의 I/O레지스터,그리고 4K의 SRAM이 내장되어 있고 롬은 EEPROM..
    리포트 | 30페이지 | 1,000원 | 등록일 2015.11.23
  • AVR(ATmega128)을 이용한 모터 RPM 계산
    Input Capture를 이용한 RPM 계산 소스분석●과 목 :●교수님 :●제출일 :●학 년 :●학 과 :●학 번 :●이 름 :● 소스 분석include // ATmega128의 레지서터가 정의된 헤더.#include// switch 1을 누르면 모터 속도 증가, s..
    리포트 | 7페이지 | 1,500원 | 등록일 2011.12.10
  • 디지털공학실험_예비&결과보고서 및 솔루션.
    1.이론적배경전자회로는 크게 연속적인 양을 다루는 아날로그 회로와 이산적인 값을 다루는 디지털 회로로 구분할 수 있다.아날로그 회로에 다이오드, 능동소자, 트랜지스터 등이 있으며, 디지털 회로는 가산기, 코드변환기가 있다. 디지털 회로는 아날로그 시스템에 비하여 전기적..
    리포트 | 15페이지 | 2,000원 | 등록일 2012.05.15
  • SOC설계및실습 Processor Report
    SOC 설계실습U_processor 설계제출일담당교수학과학번이름1. Program Counter(PC)의 설계프로그램 카운터는 프로세서 내부에 있는 레지스터 중의 하나로서, 다음에 실행될 명령어의 주소를 가지고 있다. 각 명령어 주기에 따라 자동으로 증가하여, 메모리에..
    리포트 | 18페이지 | 2,000원 | 등록일 2014.06.20
  • 전기전자응용실험 Chapter 6, 7 80C196KC Microcontroller Pre-report
    Chapter 6, 780C196KC MicrocontrollerPre-report1. TheoryAn 80C196KC is a 16-bit microcontroller with 20MHz operating frequency, 28 interrupt sources an..
    리포트 | 5페이지 | 1,500원 | 등록일 2012.08.05
  • [마이크로컴퓨터실험] ATmega128 - AD변환 (AD 컨버터) 보고서
    A/D변환1. A/D변환과정①전처리: 아날로그 신호에 포함된 잡음을 제거하고 신호의 대역폭을 제한하여 앨리어싱(aliasing)을 줄인다.②표본화: 신호 대역폭 두배 이상의 일정한 샘플링(sampling) 주파수에 따라 신호값을 취하여, 즉 신호에 포함된 최고 주파수 ..
    리포트 | 19페이지 | 2,000원 | 등록일 2011.01.01
  • GPS개론 cross-correlation
    목 차1. Tapped Feedback Shift Registers···············································31-1.코드 (Code) ···················································..
    리포트 | 7페이지 | 2,000원 | 등록일 2013.11.16
  • RTN을 이용한 프로세서 설계(컴퓨터 구조)
    24-bit CPU 설계 (Piped-Line CPU) 8 조 60091961 김동완 60091957 고유식 60092012 유치동 60092037 정진태 60112055 이은지C ontents 1. 팀 역할 분담 및 팀 회의 보고 2. 다이어그램 3. 각 회로 별 레..
    리포트 | 52페이지 | 2,500원 | 등록일 2013.06.30 | 수정일 2014.06.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:44 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대