• 통큰쿠폰이벤트-통합
  • 통합검색(682)
  • 리포트(636)
  • 방송통신대(22)
  • 시험자료(18)
  • 논문(4)
  • 서식(1)
  • 이력서(1)

"Register" 검색결과 301-320 / 682건

  • High Resolution Pulse
    4. ePWM vs HRPWM 150MHz(6.67nsec)의 클럭을 기초로 계수하는 16-bit 타이머를 통해, 변조주파수(Carrier Frequency) 1MHz의 PWM 신호를 생성할 경우? (타이머 Up-count 기준) 16-Bit 타이머의 주기 레지스터 값..
    리포트 | 8페이지 | 1,500원 | 등록일 2012.09.15
  • AT90S8535 캐릭터LCD 동작시키기
    캐릭터 lcdLCD 모듈 블록도 및 핀 사양LCD 모듈에는 LCD 컨트롤러 및 캐릭터 제너레이터가 내장되어 있으며, 모든 디스플레이 기능을 인스트럭션으로 제어하기 때문에 8비트 혹은 4비트 CPU에 수비게 인터페이스 할 수가 있다. 이 LCD 모듈의 특징은 다음과 같다..
    리포트 | 3페이지 | 1,000원 | 등록일 2012.07.19
  • [컴퓨터과학과] 2014년 1학기 디지털논리회로 기말시험 핵심체크
    제1장 컴퓨터와 디지털 논리회로1. 디지털시스템 - 시스템의 정의: 입력과 출력을 갖는 검은 상자로서, 어떠한 목적을 달성하기 위하여 상호작용하는 구성요소들의 집합2. 디지털 시스템의 장점① 디지털시스템은 구성요소의 처리과정이 매우 정확하고 동작상태를 예상할 수 있으며..
    방송통신대 | 72페이지 | 9,000원 | 등록일 2014.05.28
  • ATmega128, LM35f를 이용하여 온도계 만들기와 Fan작동 시키기
    무선통신부품종합설계 1 학기 프로젝트 결과 발표목 차 프로젝트 목표 동작설명 회로구성품 회로구성 프로그램 작성 레지스터 프로그램 결 과프로젝트 목표 ATmega128 칩을 이용하여 온도계를 만들고 일정 온도에 따라 LED 가 켜지고 Fan 이 동작하는 회로를 설계하고 ..
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.17
  • [컴퓨터과학과] 2014년 1학기 디지털논리회로 교재전범위 핵심요약노트
    제1장 컴퓨터와 디지털 논리회로1. 디지털시스템 - 시스템의 정의: 입력과 출력을 갖는 검은 상자로서, 어떠한 목적을 달성하기 위하여 상호작용하는 구성요소들의 집합2. 디지털 시스템의 장점① 디지털시스템은 구성요소의 처리과정이 매우 정확하고 동작상태를 예상할 수 있으며..
    방송통신대 | 72페이지 | 9,000원 | 등록일 2014.02.13
  • 7주차 예비보고서(시리얼 인터페이스)
    실험(4) 예비보고서마이크로컨트롤러 기능? 시리얼 인터페이스실험(4) 4주차 예비보고서(타이머와 PWM)A886042 유동현실험(4) 7주차 예비보고서(시리얼 인터페이스)시리얼 인터페이스11. 목 적시리얼 인터페이스, 즉, 직렬 인터페이스의 개념과 특징에 대해서 이해하..
    리포트 | 12페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 실험4 마이크로컨트롤러 Internal Memory의 이해 예비보고서
    [제4장] Internal Memory의 이해1. ATMega128 데이터 메모리 구조1) ATMega128 내장 데이터 메모리① RAM- 레지스터 : 범용레지스터I/O레지스터확장 I/O 레지스터- SRAM② ROM- EEPROMEEPROM은 별도의 레지스터를 통하여 ..
    리포트 | 12페이지 | 1,500원 | 등록일 2015.09.15
  • [깔끔한PPT,전공PPT] 아이폰 어플리케이션 개발
    iPhone iPhone 어플리케이션 개발 . iPhone iPhone iPhone iPhone iPhone2 500,000 85,569 37 출처 : 2011. MAY facebook.com/500kapps ( chomp, 148apps, chillingo ) APP..
    리포트 | 9페이지 | 3,300원 | 등록일 2014.06.21
  • 1주차 결과레포트(Resistor Color Code)
    실험 1. register color code(1) 실험결과이번실험은 저항의 color에 따라 저항 값을 읽는 것인데, 위의 그림을 통해 읽는 법을 알 수 있었다. 그리하여, 3가지 저항 값을 위의 그림에 표기된 방식으로 판독 해보고, 직접 실험을 통해 값을 측정해 보..
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.10
  • [디지털 논리 회로 프로젝트] AMD-2901 예제 파형(wave form) 분석
    디지털 논리 회로 프로젝트AMD-29011. RAM REGISTER ModuleINPUT Variables : Aaddr, Baddr, f, dest_ctl, ram0, ram3OUTPUT : Adata, BdataDest_ctl 에 무관하게 Aaddr, Baddr 값..
    리포트 | 13페이지 | 2,000원 | 등록일 2013.06.20
  • 디지털시계(인터럽트) 결과 보고서
    마이크로프로세서 응용공학실험유 형결과보고서제출자학번소 속마?프?실?험성명공동실험자학번제출일자성명실험 2. 디지털시계(인터럽트)□ 인터럽트 서비스 루틴이 가능한 한 짧아야 하는 이유인터럽트는 가능한 빨리 벗어나는 것이 좋습니다. 이것은 인터럽트 백터로 부터 분기되어 처리..
    리포트 | 1페이지 | 2,000원 | 등록일 2012.06.26
  • 타이머
    ..PAGE:1타이머/카운터..PAGE:2타이머/카운터 개요8비트 타이머/카운터 08비트 타이머/카운터 0의 레지스터타이머/카운터 0 실습16비트 타이머/카운터 1타이머/카운터 1의 레지스터타이머/카운터 1 실습8비트 타이머/카운터 28비트 타이머/카운터 2의 레지스터..
    리포트 | 71페이지 | 7,000원 | 등록일 2011.04.26
  • 성균관대-논리회로-프로젝트-도서관출입게이트
    1도 서 관 출 입 게 이 트Electronics & Electrical Engineering, SungKyunKwan Univ.Team 13.================== 목 차 ====================0. 프로젝트의 목적1. 회로의 목적 및 개념 설..
    리포트 | 24페이지 | 3,000원 | 등록일 2014.04.23
  • LEED AP - Reference Guide Overview 본문내용
    1. Why Make Your Building Green?The environmental impact of the building design, construction, and operations industry is enormous. Buildings annually..
    시험자료 | 42페이지 | 1,500원 | 등록일 2016.01.30 | 수정일 2017.03.09
  • IOPORT
    1. IO PORT특징1) 진보된 RISC구조 사용2) 고 성능, 저 전력의 8bit 마이크로컨트롤러3) 16MHz에서 평균 16MIPS의 명령처리속도기본 구조총 53개의 범용 I/O PortA, B, C, D, E, F (8bit 빨간색)G : 5개 (5bit 파란색..
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.27
  • 논리회로실험_결과6
    실험6. 시프트레지스터와 카운터(2)집적회로 시프트 레지스터Shift PulseL0L1L2L3L40LLDDD1DLLDD2DDLLD3DDDLL4DDDDL5DDDDDLED에 불이 0,1번에 들어와 있다가 클럭이 인가 됨에 따라서 한칸씩 밀려나면서 마지막 5번째(4번) LE..
    리포트 | 4페이지 | 1,500원 | 등록일 2012.07.13
  • Analysis of experimental[기초회로실험]
    수강과목 :기초회로실험담당교수 :김지홍교수님제출일자 :2011년 2학기 중학 과 :전자정보공학부 전자과학 번 :200811122성 명 :곽승종수강과목 :기초회로실험담당교수 :교수님제출일자 :2011년 2학기 중학 과 :전자정보공학부 전자과학 번 :성 명 :목차1. Gr..
    리포트 | 85페이지 | 4,000원 | 등록일 2012.10.28
  • 부경대 기계자동차공학과 마이크로프로세서 응용 및 실험 실험과제 3
    1. 설계과제의 목표 및 제한조건LED 밝기 조절스위치 입력은 External interrupt 사용Timer interrupt 사용 - 정확히 시간 측정입력 : 스위치 3개, 출력 : LED 1개,7-segment1번 스위치 입력 - LED 10단계 밝기 조절1번 스..
    리포트 | 11페이지 | 2,000원 | 등록일 2012.12.24
  • verilog - D 플립플랍의 setup time, hold time 구하기
    ⦁ D-FF 구현할 때, 참고할 점module dff_rst (D, RST, CL, Q);input D, RST, CL;output Q;reg Q;always @(posedge CL or negedge RST)// CL의 상승엣지(positive-edge)에서 데이터를..
    리포트 | 4페이지 | 1,000원 | 등록일 2013.06.23
  • 하드웨어 구현
    1. 인코더- 2X4 인코더는 디코더와 반대되는 동작을 수행한다.NAND 게이트를 이용한 2X4 인코더1.1 구현소스1.2 실행화면- enable 값이 0이면 인코더를 실행한다.2. 멀티플렉서- 멀티플렉서는 n개의 입력을 받은개의 출력 중 한 개를 선택해주는 채널 선택..
    리포트 | 8페이지 | 1,000원 | 등록일 2009.10.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대