• 통큰쿠폰이벤트-통합
  • 통합검색(495)
  • 리포트(460)
  • 논문(22)
  • 시험자료(6)
  • 기업보고서(3)
  • 방송통신대(2)
  • 서식(1)
  • 이력서(1)

"Register" 검색결과 421-440 / 495건

  • [디지털실험]디지털실험
    실험12. 쉬프트 레지스터( 예비 보고서 )■ 목 적1. 쉬프트레지스터의 구조와 동작원리를 이해한다.2. 쉬프트레지스터를 이용한 커운터의 동작을 이해한다.■ 원 리플립플롭이나 래치는 가장 기본적인 기억소자이며 계산기내에서 수치나 명령 등의 정보를 일시 기억해 회로로 사..
    리포트 | 7페이지 | 1,000원 | 등록일 2006.01.08
  • 아주대논리회로실험 7장시프트레지 예비보고서(기본구성+빵판+예상결과)
    REPORTIEEE Code of Ethics (출처: http://www.ieee.org)We, the members of the IEEE, in recognition of the importance of our technologies in affecting the ..
    리포트 | 8페이지 | 1,500원 | 등록일 2011.12.21
  • 오프셋이란?
    시스템프로그래밍오프셋이란, 두 번째 주소를 만들기 위해 기준이 되는 주소에 더해서 물리주소를 만들 때 사용된다. 오프셋 주소는 세그먼트 내에서 베이스 주소를 0번지로 했을 때에 목적 번지까지의 바이트수이다. 실제 주소는 1부터 시작하기도 하고 0부터 시작하기도 하지만 ..
    리포트 | 1페이지 | 1,000원 | 등록일 2012.01.02
  • [논리회로] State machine을 이용한 Serial adder 설계
    설계과제명State machine을 이용한 Serial adder 설계주요기술용어(5~7개 단어)Shift Register, Full Adder, Decoder, Multiplexer, Serial AdderMealy Machine, Parallel In, Output..
    리포트 | 6페이지 | 3,000원 | 등록일 2009.07.18
  • 산술논리시프트장치
    산술 논리 시프트 장치◎ 산술 논리 시프트 장치-ALU(arithmetic and logic operation)-공용연산장치-이 장치에 연결된 레지스터의 재료로 마이크로연산.-연산의 결과는 목적지 레지스터로 전송.-ALU를 통하여 레지스터까지의 전송이 하나의 클럭 펄스..
    리포트 | 8페이지 | 1,500원 | 등록일 2007.07.05 | 수정일 2015.12.21
  • 마이크로프로세서 응용 및 실험 [LED 10단계 밝기 조절, 7-segment 표시 ]
    1. 설계과제의 목표 및 제한조건1.1 설계과제의 목표◆ 1번 스위치 입력이 있을 때 LED 10단계 밝기 조절( 밝기 단계 증가 : “0” → “1” ….. → “9” → “0” → ….. )◆ 2번 스위치 입력이 있을 때 7-segment 표시 선택ㆍ 시간(초) 표..
    리포트 | 14페이지 | 10,000원 | 등록일 2012.03.31 | 수정일 2013.12.17
  • 마이크로프로세서 응용 및 실험 [LED 및 7-segment 점등]
    1. 설계과제의 목표 및 제한조건1.1 설계과제의 목표◆ LED 점등▷ 1번 스위치 입력(Rising Edge)이 1번 있을 때 점등된 2개의 LED가 중앙에서 바깥쪽으로 (바깥쪽에서 중앙으로 ) 이동▷ 2번 스위치 입력이 1번 있을 때 방향 전환◆ LED 및 7-se..
    리포트 | 16페이지 | 10,000원 | 등록일 2012.03.31 | 수정일 2013.12.17
  • 주권발행 전 주식의 이중양도 - 대법원 2014.4.30. 선고 2013다99942 판결 -
    가천대학교 법학연구소 이미현, 김택주
    논문 | 35페이지 | 8,300원 | 등록일 2016.04.02
  • 8051의 레지스트 정리
    ? 8051의 레지스터를 알아보기 전에 우선 8051의 전체적인 메모리의 구조를 알아보고 내부 메모리에서도 특수기능 레지스터에 대하여 자세히 알아 보도록 하자.(1) 8051의 메모리 구조Program MemoryData MemoryInternal Data Memory..
    리포트 | 7페이지 | 2,000원 | 등록일 2007.04.04
  • Quartus 실습 - 7segment, Mux, Adder, Decoder
    Report< Quarus 실습설계 >1. 6-bit Full_Adder (ripple carry adder)2. 6-bit Shift Register3. 7-Segment Decoder4. 2 x 1 Multiplexer5. 4-bit 카운터과 목 : 디지털시스템설계..
    리포트 | 9페이지 | 1,500원 | 등록일 2012.03.28
  • [프로그래밍언어론] 인터프리터(interpreter) 작성
    프로그래밍언어론 Homework#1.......................................................Interpreter.......................................................제출일자: 05.0..
    리포트 | 4페이지 | 3,000원 | 등록일 2007.09.18
  • Verilog HDL을 이용한 디지털 회로실험 사전보고서
    동기식 카운터 vs 비동기식 카운터 순차회로는 동기식 순차회로와 비동기식 순차회로로 구분할 수 있다. 동기식 순차회로는 회로 구성에 사용된 모든 플립플롭들이 하나의 공통 클럭을 동시에 공급받도록 구성된 회로를 말한다. 이에 반해 비동기식 순차회로는 플립플롭들이 서로 다..
    리포트 | 12페이지 | 9,000원 | 등록일 2008.12.25
  • 컴퓨터의 활용 인터넷이란 무엇인가 컴퓨터란 무엇인가
    Report 컴퓨터의 활용 1 * 컴퓨터란 무엇인가 ? * 인터넷이란 무엇인가 ?● 컴퓨터의 역할 - 수치계산 뿐 아니라 문자 ․ 그림 ․ 소리 ․ 동영상 등 여러 유형의 데이터를 처리하여 사용자가 원하는 정보를 제공 - 정보를 가공하여 필요한 정보로 만든다 . ※ 데..
    리포트 | 7페이지 | 1,500원 | 등록일 2011.11.01
  • [Flowrian] 버스를 통한 데이터 전송 회로의 Verilog 설계 및 시뮬레이션 검증
    버스를 통한 데이터 전송 회로는 아래의 모듈들로 구성된다.tribufs : 8 비트 Tr-State Bufferreg8b : 8 비트 레지스터exonbus : 버스를 통한 데이터 전송 회로Verilog 언어를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움..
    리포트 | 16페이지 | 2,000원 | 등록일 2011.12.27
  • 4-bit Shift Resister
    4비트 쉬프트 레지스터 입니다..MAX+plus II 로 만들었습니다.
    리포트 | 1페이지 | 1,000원 | 등록일 2006.09.19 | 수정일 2016.05.18
  • 컴퓨터 시스템 구조 4장 연습문제
    컴퓨터 시스템 구조 보고서 : 3장 연습문제 풀이컴퓨터 시스템 구조제목 : 2장, 3장 연습문제 풀이4.1. 다음 레지스터 전송문을 구현하는 하드웨어에 관한 블록도를 그려라(그림 4-2a와 유사하게)4.1번 문제풀이yT2은 y∩T2 와 같으므로, AND 게이트를 통하여..
    리포트 | 6페이지 | 1,000원 | 등록일 2008.10.10
  • 예비4
    예비 Report설계실습 4. 스텝 모터 구동기과 목아날로그 및디지털회로 설계실습학 번성 명1. 목적단극 스텝 모터(Uni-polar step motor)의 동작 원리와 스텝 모터를 조종하기 위한 범용 이동 레지스터(Universal shift register)의 사용..
    리포트 | 6페이지 | 1,000원 | 등록일 2012.01.25
  • 마이크로프로세서 이해 (1-6장 연습문제)
    ..FILE:마프 1장 연습문제.hwp마이크로프로세서 1장 연습문제1. 컴퓨터의 역사를 간략하게 설명하고, 응용분야를 열거 하시오① 기원전 3000년경의 주판② 17(1642)세기 프랑스 Pacal : 탁상용 계산기③ 1801 Jacquard : 천공카드(옷감에 무늬를..
    리포트 | 8페이지 | 1,000원 | 등록일 2010.04.25 | 수정일 2018.01.03
  • 순서논리회로와 디지털회로 응용
    {{Ⅳ. 순서 논리 회로와 디지털 회로 응용{1. 멀티바이브레이터{2. 플립플롭{3. 순서 논리 회로 설계{4. 계수 회로와 레지스터{5. 디지털 회로 응용{[목차]{{1. 멀티바이브레이터학습 목표멀티 바이브레이터의 원리를 이해하고 안정화 회로를 구성할 수 있다.비안정..
    리포트 | 21페이지 | 1,000원 | 등록일 2007.03.10
  • [공학기술]ARM 명령어 집합 06-ARM-Instruction-Set_
    ARM 명령어 집합내용ARM 구조 프로세서 수행 모드 레지스터 구조 예외 처리 과정 ARM 명령어 형식 조건 수행 접미사 데이터 처리 명령어 곱셈 명령어 Load/Store 명령어 다중 레지스터 Load/Store 스택 명령어 SWI 명령어 Branch 명령어 상태 레..
    리포트 | 44페이지 | 2,000원 | 등록일 2007.05.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:08 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대