• 통큰쿠폰이벤트-통합
  • 통합검색(929)
  • 리포트(899)
  • 시험자료(16)
  • 자기소개서(11)
  • 논문(3)

"7segment실험" 검색결과 141-160 / 929건

  • 고려대학교 디지털시스템실험 A+ 10주차 결과보고서
    SRAM 을 이용하여 계산기를 구현할 때, 결과값이 FPGA에 뜨지 않았는데, 7 segment control module을 사용할 때 rst 값에 1을 넣어주는 것으로 이러한 문제를 ... 본 실험을 통하여 SRAM을 설계 후 메모리에 데이터를 읽고 쓰는 과정을 이해할 수 있었다.
    리포트 | 2페이지 | 2,000원 | 등록일 2023.06.21
  • 서울시립대학교 전전설2 8주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 7-segment, Piezo등 주변 디지털 장치 제어를 실험한다.나. ... Pre-Lab Report- Title: Lab#08 Peripherals(7-segment and Piezo Control)담당 교수담당 조교실 험 일학 번이 름목 차1. ... Materials & Methods (실험 장비 및 재료와 실험 방법) ‥‥ 4가.
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 10. 7-segment / Decoder 회로 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 10 결과보고서-7-segment / Decoder 회로 설계학과 :담당 교수님 :제출일 :조 :학번 / 이름 :10-4. ... Segment 구동 회로 설계설계실습계획서 10-3-3에서 그린 7-segment 구동 회로에 토글 스위치를 추가하여 설계 한다. 10가지 다른 입력 값에 대해 구현된 회로의 입력 ... 따라서 본 실험에서 사용한 7-Segment는 Anode Common Type임을 알 수 있었다.Anode Common type은 common pin인 3번 핀과 8번 핀을 전압을
    리포트 | 3페이지 | 1,000원 | 등록일 2022.10.24
  • 마이크로프로세서+2주차+결과보고서 GPIO로 FND LED켜기
    실험이론1. 7-segment(Flexible Numeric Display))7-segment는 왼쪽 그림과 같이 A B C D E F G H 에 0 또는 1을 입력으로 줄 때 동작하는 ... GPIO 입출력 제어를 통하여 7segment 동작시켜 동작원리를 습득한다.2. ... 구조를 나타낸다.또한 7-segment는 f단자와 a단자 또는 d단자와 c단자 사이의 부분에 따라 anode 타입과 cathode 타입으로 나누어 지는데 MCU모듈에서는 기본적으로
    리포트 | 7페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • Amperometric sensor for hydrogen peroxide_결과보고서
    , sweep segment : 5, Sensitivity (A/V) : 1.e-004) (E =electromotive force, V = voltage)④ 측정이 마무리되면 parameter의 ... segment를 5로 설정한 뒤, 전체 농도가 15mM 가 되도록 마이크로 피펫을 사용하여 150 µL의 를 추가하고 측정을 진행한다.⑤ ④번 과정을 같은 셀 내에서 2번 더 반복한 ... 이것을 이용하여 확산계수 D를 구할 수 있는데, Cottrell 방정식을 다음과 같이 변형하여 사용한다.=7) 혈당 센서의 원리와 실험을 통해 얻은 calibration curve의
    리포트 | 13페이지 | 2,500원 | 등록일 2023.07.17
  • 폴리우레탄 탄성체의 합성 실험 전체보고서
    같다.위에서 말한 hard segment를 사각형으로 soft segment를 ~로 표현해보면 폴레우레탄은 아래 그림처럼 hard segment가 soft segment를 붙잡고 ... 있는 형태가 된다.이때 외부에서 힘을 가해 잡아당기거나 압축시키게 되면 hard segment는 변형이 나타나지 않고 soft segment 사슬 부분이 늘어나거나 줄어든다. ... HCl 용액 : 59.5mL시료의 적정에 사용된 HCl 용액 : 53.5mL시료의 양 : 1g7.
    리포트 | 9페이지 | 2,500원 | 등록일 2020.12.02
  • 폴리우레탄폼 제조 [ 고분자 공학실험, A+ 레포트]
    녹은 시료가 담긴 플라스틱 컵을 mechanical stirrer에 넣고 60s간 교반하였고, 그 후에 vial에 녹아 있는 MDI를 add하면서 더 강하게 7s간 교반하였다. ... 교반이 끝나면, 30mL vial에 녹였던 MDI를 PEG가 들어있는 플라스틱 컵에 add하면서 더 강하게 7s간 교반한다. ... Discussion1) 사용된 물질들의 역할- Polyethylene glycol (PEG)PEG는 폴리우레탄의 soft segment를 형성하는 polyol로 작용하며, 폴리우레탄의
    리포트 | 14페이지 | 2,000원 | 등록일 2023.03.30 | 수정일 2023.05.23
  • 기초전자회로실험_vending machine
    .-7-segment LED 의 구성 및 동작7-segment 는 외부 핀의 모양에 따라 2 가지 종류가 있는데, 다음 그림은 2 종류에 대한 핀 구성을 보여준다. ... 또한 각각의 7-segment 는 common-anode 형태와 common-cathode 형태가 존재한다. ... 사진으로 캡쳐 하고 각 부분을 실험내용 1 에 제시된 회로도와 비교하여 설명↑입력 0 0 1 1을 가했을 때, 7segement 출력:0↑입력 0 1 0 1을 가했을 때, 7segement
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2022.07.18
  • 충북대학교 전자공학부 기초회로실험 인코더와 디코더 회로 결과보고서
    회로를 구성하여 7-segment LED를 구동확인하고 0~9숫자의 논리식을 표시하라. ... ☞ABD _{0}D _{1}D _{2}D _{3}0*************0100110001(2) 다음은 7-segment의 진리표이다. ... 디코더, 인코더 그리고 디코더를 이용한 7-세그먼트에 결과 값을 출력해보는 실험이었다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 마이크로프로세서+2주차+예비보고서_GPIO로 FND LED켜기
    사전지식1. 7-segment(Flexible Numeric Display))7-segment는 왼쪽 그림과 같이 A B C D E F G H 에 0 또는 1을 입력으로 줄 때 동작하는 ... GPIO 입출력 제어를 통하여 7segment 작동을 시킨다. 일정시간 마다 클럭에 의해 FND에 숫자와 문자가 표시되도록 한다.2. ... 구조를 나타낸다.또한 7-segment는 f단자와 a단자 또는 d단자와 c단자 사이의 부분에 따라 anode 타입과 cathode 타입으로 나누어 지는데 MCU모듈에서는 기본적으로
    리포트 | 3페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 제11장 조합논리 예비보고서
    segment‘7-segment’란 7개의 획을 이용하여 0에서 9 사이의 숫자를 표현하는 표시장치이다.추가적으로 점을 표현하는 부분이다. 7-segment는 과 같은 형태이며 각각의 ... 각 획의 자리에 있는 LED가그림 11-31. 7-segment그림 11-32. 7-segment를 이용한 수의 표현켜진 상태면 1, 꺼진 상태면 0으로 표현하였다.7-segment의 ... 양극이 공통으로 묶인타입을 CA(Common Anode), 음극이 공통으로묶인 타입을 CC(Common Cathode)라고 한다.- CA타입 7-segment의 구동CA타입의 7-segment
    리포트 | 13페이지 | 1,500원 | 등록일 2020.02.11
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    Decoder와 7-segment의 사이에 330 Ω 저항을 삽입하여 7-segment의 각 세그먼트 허용전류를 초과하지 않도록 하였다.※ Stopwatch의 정상 동작을 위해서는 ... MC14511B를 BI = HIGH, LE = LOW, LT = HIGH로 연결해야한다. 7-segment가 common cathode이므로, V = LOW를 연결해야한다.2. 2자리 ... Stopwatch 설계소속전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.12.07제출날짜2023.12.071.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • 제11장 조합논리 결과보고서
    디코더의 동작확인1) 74LS47을 이용하여 7-segment를 구동하기 위해서옆과 같이 회로를 구성한다.- 7-segment의 핀의 앞의 실험 5에서 관찰한내용을 바탕으로 구성한다 ... 7-segment의 타입과 핀 확인1) 7-segment를 빵판에 배치한다.2) 사용하는 7-segment가 어떤 타입인지를 확인하라.- 아래쪽 맨 왼쪽 단자를 1번으로 하고, 반시계방향으로 ... segment의 단자를 확인하라.- DMM의 공통단자에 빨간색 리드선을 연결한 상태에서 검은색 리드선을 각각의 단자에 연결하여단자124567910측정edcdotbafg예시edcdotbafg어떤
    리포트 | 6페이지 | 1,500원 | 등록일 2020.02.10
  • 조도계로 빛의 세기를 7-segment로 크기 표현
    CdS ( 황화카드뮴 ) 광센서와 Analog-digital 변환소자 , 1 학기 디지털공학과 기초전자회로 실험 에서 배운 7-segment , 비교기 , 가산기를 활용하여 빛의 세기의 ... 7-segment 를 통해 빛의 상대적 세기를 출력 조도계 3 조 프로젝트 선정 이유 및 목표 빛의 세기를 실제 우리가 알 수 있는 수치로 시각화 하여 표현하는 방법을 생각하던 중
    리포트 | 14페이지 | 3,000원 | 등록일 2020.05.06
  • 한양대 Verilog HDL 3
    실험 목적Verilog 문법 중 Blocking, Non Blocking의 개념에 대해 이해한다. 7-segment decoder 을 이용해 60초 기준으로 1초마다 FPGA starter ... 이번 실험에서는 always문을 사용하므로 Non-blocking을 사용한다. ... Non-blocking은 두 개의 절차로 진행되는데, RHS(right hand side) -> LHS(left hand side) 순서이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.03.21
  • 논리회로실험 프로젝트 2, stop watch 설계
    논리회로설계실험 프로젝트 #2Stop watch 설계1. ... segment- 7 segment 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있어 7 segment 라는 이름이 ... 설계 방법 및 결과1) 소스코드입력, 출력 및 변수 설정 & 7 segment- cnt_dc는 LED판이 총 6개 이므로 각 부분에 7 segment를 할당해 주기 위해 0~5까지로
    리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • SK하이닉스 소자 직무 합격 자기소개서
    저는 실험당일 바뀐 D flip flop을 이용하여 수정된 회로를 설계하였고, 추가 과제인 7segment display에 최소의 전선을 이용하여 숫자를 출력하는 논리회로를 구현하였습니다 ... `을 수강할때에 3인 1조로 실험을 진행하게 되었습니다. ... 기존 매뉴얼대로만 따라가는 것이 아닌, task전반의 구성요소를 꼼꼼히 탐구하고 새로운 방법을 찾는 저의 능력은 sk하이닉스 엔지니어로써의 삶을 사는 데에 도움이 될 것입니다.3.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.06
  • 정상파 실험 (과학영재학교)
    *이 실험보고서는 고등학교 실험교과목에서 작성된 것으로 대학교 물리실험 교과목에서 요구하는 난이도와 양으로 적합하지 않을 수 있습니다.(1)Date: 2005. 10. 24(2)Team ... Segments.⑨ Using the slope, length, and frequency, calculate the linear masson vs. 1/n2The slope=7.757 ... TheoryWhen a stretched string is plucked it will vibrate in its fundamental mode in a single segment
    리포트 | 8페이지 | 3,000원 | 등록일 2020.01.05
  • 서강대학교 디지털논리회로실험 레포트 3주차
    토의 및 결론이번 실험에서는 decoder및 encoder, 7-segment 등의 동작원리에 대해 배울 수 있었다. ... 동작 원리를 이해한다.-7-segment decoder의 동작원리를 이해한다. ... 즉, 본 실험에서 하나는 7-segment display에만 표시하기 위해 FND_COM0만을 선택하고 FND_COMM1-3는 선택되지 않도록 설정하였다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    2019년 전자전기컴퓨터설계실험28주차 사전보고서0. ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게 ... 각 데이터에 Low 값을 전달하여 LED에 불이 들어오도록 설정한다.1. 7-segment decoder 설계7-segment 설계에서는 하나의 segment LED에 0에서 9까지
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대