• 통큰쿠폰이벤트-통합
  • 통합검색(929)
  • 리포트(899)
  • 시험자료(16)
  • 자기소개서(11)
  • 논문(3)

"7segment실험" 검색결과 41-60 / 929건

  • 게이트(gate)와 7세그먼트(segment) 전기적소자의 특성과 실험 결과
    □ 7-Segment 실험5월 11일 실험수업이 끝난 후 제2공학관 310호 실험실을 빌려서 실험을 하였다. ... 전력효율 측면에서 저항은 작은 것을 연결하는 것이 좋다는 것을 알 수 있었다.□ Gate 실험7Segment 실험 후 Gate에 대한 전기적 특성 실험을 하였다. ... 먼저 7세그먼트 실험을 했는데 데이터 시트를 찾을 수가 없어서 각 부분에 하나하나 연결을 하면서 Vcc와 GND를 찾고, abcdefg를 찾아 실험을 하였고 저항은 100Ω 짜리를
    리포트 | 7페이지 | 1,000원 | 등록일 2008.07.24
  • 7-segment 점등 실험을 통해 timer와 counter의 원리와 회로구성을 이해한다.
    실험 목적7-segment 점등 실험을 통해 timer와 counter의 원리와 회로구성을 이해한다.2. ... 실험 결과① 회로 구성위의 LED의 A∼H를 각각 ATmega에서 출력하고자 하는 PA, PB, PC, PD 중에 (우리 는 PA에 연결) 연결한다. 7-segment를 AT-mega와 ... 마다- LED 밝기 조절: 100msec 마다- 7-segment 표시: 1초마다3.
    리포트 | 9페이지 | 1,000원 | 등록일 2010.05.10
  • 실험 / Gate와 Flipflop을 이용한 comparator & counter & 7-segment 구현
    -Block diagram카운터의 output Q2, Q1, Q1를 7-segment input X,Y,Z에 각각 매핑 시키고, 7-segment input의 MSB인 W에는 항상 ... Display the counter value on the 7 segment. ... 원리는 MSB가 같을 경우 type을 선택하여, segment값이 1이 될 때 불이 들어 오도록 구현할 것이다. 0~ 9까지 아래의 모양으로, 9보다 큰 수들은 전체segment
    리포트 | 18페이지 | 4,000원 | 등록일 2009.12.23
  • 실험 - Gate와 Flipflop을 이용한 comparator & counter & 7-segment 구현
    구현에는 Inverter와 2input & 3-input NAND 게이트만을 사용할 수 있다.2) BCD- to 7 segment display code converterBCD로 0 ... -9까지 입력하면, 7 segment에 이에 해당하는 Decimal 숫자가 나타나도록 회로를 설계하는 것이다.K-map을 이용하여 Boolean Function을 구하고 inverter ... Purpose of the lab이번 실험의 목표는 크게 Combinational logic circuit에 대한 이해, Karnaugh map을 이용한 Boolean function을
    리포트 | 21페이지 | 6,000원 | 등록일 2009.12.23
  • ALU를 이용하여 회로를 구성하고 7segment를 통한 결과의 출력 실험레포트
    , C 의 입력에 전압을 인가하여 A plus B의 연산을 수행하고 2진 데이터의 결과값을 7-segment로 출력한다.[2] 실험소자의 종류 및 특성(1) ALU(Arithmetic ... seg 출력단자를 각각에 대응하는 LED와연결한다.4) 실제 Driver의 구성모습(4) 7-segment LED와 저항의 구성1) Vcc 핀에 저항의 한쪽 핀을 연결하고 반대편 핀은점프선을 ... , c, d, e, f, g 의 6개의 LED를 연결하여 각각의 LED에흐르는 전류를 제어함으로써 원하는 문자형태를 만들 수 있다.(3) 7-segment Driver1) Model
    리포트 | 4페이지 | 1,000원 | 등록일 2007.10.13
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    실험목표① 7-segment의 구조를 살펴보고, 동작을 실험한다.② 7447 디코더의 동작을 살펴보고, 동작을 실험한다.③ 7-segment와 7447 디코더로 구성한 10진수 표시 ... 7-segment- 위의 사진은 7-segment로, 7개의 마디와 1개의 점 및 10개의 핀을 가지고 있다.- 7-segment는 애노드 공통형과 캐소드 공통형이 있으며, 애노드 ... 실험방법과 그림 2의 실험방법에 대해 비교하여 설명하시오.- 그림 1은 디스플레이와 7-segment의 핀에 연결되어 있는 전선을 하나씩 끊거나 이어주며 직접적으로 그 숫자의 형태를
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    (선택사항) 가산기와 연결하여 계산결과를 표현하는 7-segment 계산기 구현실험결과실험에서 사용된 코드는 아래와 같습니다..//1// module segment(Ain, Bin, ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목7-segment실험목표1 ... ;//14// BCD_to_7segment second(P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2);//15// SevenSeg_CTRL(nClk,
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit BCD code ... 따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다.7-segment 진리표3. 7 segment Controller 구현다음의 그림과 같이 7 세그먼트 컨트롤러를 ... BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    실험 목적1) 일반적인 binary decoder의 동작 원리를 이해한다.2) 7-segment의 동작 원리를 이해한다.3) Priority encoder의 동작 원리를 이해한다.4 ... [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 고려대학교 디지털시스템실험 A+ 5주차 결과보고서
    이번 실험을 통해 7-segment의 8자리가 어떻게 동시에 보여지는지 알 수 있었습니다. ... Binary to 7-segment를 구현할 때에 저번 시간에 만들었던 binary to BCD를 사용하였고, 이렇게 만든 Binary to 7-segment 함수를 이용해 7-segment
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.21
  • 7-세그먼트 표시기와 디코더 결과보고서A+
    [그림 10 - 1] 74138 decoder[그림 10 – 2] 7 segment애노드 공통형을 선택하여서 두개의 Vcc에 5V 전압을 주었다. ... 디코더, BCD, 플립플롭 등)을 상기하는 시간을 가졌고 이론과 실습을 접목시킨 점에서 의미가 깊다.고찰문제아래의 [그림 10 – 1]74138 decoder와 [그림 10 – 2] 7segment의 ... 실험목적디지털 기기에 많이 사용되는 7-세그먼트에 대한 이해를 하고 BDC to 7-세그먼트 디코더를 설계한다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    메카트로닉스 및 실습실습보고서[BCD 스위치의 값을 7-세그먼트에 표기하기]■과목명:메카트로닉스 및 실습■담당교수:■제출일:■학과:■학번:■성명:1.실험 개요- 실험 목적7-segments를 ... 결과 고찰7-segments 실험을 진행하여 결과적으로 로터리 형태의 BCD 장치를 통해 7-세그먼트에 원하는 숫자 결과 값을 출력할 수 있었습니다.실험 과정에서 집적회로(IC)를 ... 한 자리에 해당하는 4비트나 두 자리에 해당하는 8비트를 입력받아 이를 해석하여 적절한 모습으로 표시해 주는 장치도 존재합니다.- 7-segment 동작 원리7-Segment란 Bar형태로
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • 전기및디지털회로실험 아두이노M3 예비보고서
    7-segmentm display의 led들 중 적절한 것을 켜주어 해당 숫자가 표시되도록 하여 주는 ic를 bcd-to-7-segment decoder/driver라고 부른다. ... segment를 캐소드(음극)이라 설정하고 회로 시뮬레이션을 했다.int a=2;int b=3;int c=4;int d=5;int e=6;int f=7;int g=8;void setup ... 관련이론7 segment display: 숫자표시기는 일곱개의 발광다이오드로 이루어진 표시창으로 일곱개의 LED a~g 중 어느 것들이 켜지느냐에 따라 0~9까지의 숫자가 나타나도록
    리포트 | 14페이지 | 1,000원 | 등록일 2021.03.20 | 수정일 2021.09.03
  • 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... BCD 각 4자리를 7-Segment로 출력하는 회로module svnseg(in,seg);input [3:0]in;output [6:0]seg;reg [6:0] seg;always
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 논리회로설계실험 BCD가산기 레포트
    논리회로설계 실험 설계과제 보고서주제 : #1 BCD 가산기 설계1. 설계 배경 및 목표1) 설계 배경컴퓨터는 2진법을 이용하여 계산을 한다. ... 그 결과 입력된 두 수를 더해줄 BCD Adder 코드, BCD를 7 segment로 나타낼 7 segment 디코더, 그리고 BCD Adder의 계산 결과를 7 segment로 전해줄 ... segment codeBCD to 7segBCD의 값을 7-segment로 바꿔주는 코드이다.input으로 4bit의 수를 받는다(5행).output으로 7bit의 수를 출력한다(6행
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 디지털 논리회로와 광센서
    광센서에 빛을 주는 경우에는 7-segment에서 7~8이 반복되었다. ... 하지만 전압을 조정하여서 MC1480과 OPAMP의 전압의 범위를 비슷하게 해 준다면 7-segment에 표시되는 값은 6~7이 반복되었다. ... 수 6~7조도계에 빛을 비추는 경우 segment에 표시되는 수 7~8결과 분석 및 토의광센서 특성 측정광센서의 빛을 비추는 경우, 빛을 비추지 않는 경우보다 저항값이 줄어들었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.03.03
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 각각의 십진수가 4비트 이진수로 인코딩되어있다. 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 설계할 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 홍익대학교 전전 실험1 부호기 예비보고서
    이때 필요한세그멘트(segment)수는 7개 이다.BCD-to-seven segment 위치와 숫자모양BCD-to-seven Segment 모형도BCD-to-seven Segment ... segment 복호기의 논리회로를 구성하라.(5) 7490 십진 카운터에 대하여 조사하라.7. ... 14 × 2 인코더 진리표논리 회로도2.3 십진 수치의 표시십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.12.25
  • 6주차 결과보고서- 디지털 시스템 설계 및 실험 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... 구현된 결과물을 HBE-COMBO II-DLD 보드에 업로드하여 검증실험결과1.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 충북대 기초회로실험 인코더와 디코더 회로 예비
    LTS5422R(Seven segment), Power supply, Oscilloscope실험(1) 다음 그림의 회로를 구성하고, 진리표를 구하여 표로부터 ... 인코더와 디코더 회로(예비보고서)실험 목적(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment(숫자표시기)의 ... BCD-7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 십진 숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.또한 BCD
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 24일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대