• 통큰쿠폰이벤트-통합
  • 통합검색(230)
  • 리포트(218)
  • 자기소개서(10)
  • 논문(1)
  • 시험자료(1)

"verilog HDL 코드" 검색결과 81-100 / 230건

  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    실험목적① BCD code, Seven-segment display에 대한 이론 및 회로② Seven-segment display의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit ... 실험제목Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증2. ... 1Preliminary report Electronic Engineering기초전자회로실험1Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 논리회로실험 5주차 예비보고서
    목적- Verilog HDL 에 대해 이해하고 기본적인 문법을 익힌다.- 부울대수를 Verilog HDL의 형태로 표현하는 방법을 이해한다.- Verilog HDL code로 QuartusⅡ를 ... 예 비 보 고 서5주차부울대수의 간소화(2)Verilog HDL code 이용분반 : 0성명 : 000학번 : 2010000실험일: 0000.00.001. ... 기본 이론1) Verilog HDL란??
    리포트 | 4페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습2 [예비레포트]
    Purpose of this LabXilinx 프로그램을 이용하여 Verilog HDL을 실습한다.구현하려는 회로의 Verilog code를 직접 작성하여 시뮬레이션 및 디바이스 연결 ... 후 출력 값이 이론적인 결과와 일치하는지 확인한다.Xilinx 프로그램에서 Verilog code를 통한 회로구현을 학습한다.나. ... 제외하고는 무시주석 : HDL 소스코드의 설명을 위해 사용되며, 컴파일과정에서 무시됨연산자 : 단항연산자, 2항 연산자, 3항 연산자수 표현 : 10진수, 16진수, 8진수, 2진수
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습2 [결과레포트]
    Purpose of this LabXilinx 프로그램을 이용하여 Verilog HDL을 실습한다.구현하려는 회로의 Verilog code를 직접 작성하여 시뮬레이션 및 디바이스 연결 ... 후 출력 값이 이론적인 결과와 일치하는지 확인한다.Xilinx 프로그램에서 Verilog code를 통한 회로구현을 학습한다.나. ... 제외하고는 무시주석 : HDL 소스코드의 설명을 위해 사용되며, 컴파일과정에서 무시됨연산자 : 단항연산자, 2항 연산자, 3항 연산자수 표현 : 10진수, 16진수, 8진수, 2진수
    리포트 | 22페이지 | 1,000원 | 등록일 2017.10.19
  • 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Post
    Clock 또한 정상 동작함을 확인하였다.ReferenceDatasheet - HBE-Combo II-SE VHDLVerilog HDL을 이용한 디지털 논리 회로 설계-XILINX ... watch mode로 돌아간다.위의 source code를 제외한 source code와 pin assignment를 위한 코드는 Pre_lab report와 동일하므로, 생략하도록 ... 이는 count up을 해주는 코드가 조건문보다 앞에 있기 때문이다.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 디시설 - 기본적인 디지털 논리회로 설계
    새로운 프로젝트를 생성하고, 위에서 간소화된 논리식을 HDL로 코딩하라. 이때 다음과 같이 Verilog 또는 VHDL 템플릿에 따라 설계한다.3. ... 설계한 HDL 코드를 컴파일하고 시뮬레이션한 결과를 Schematic으로 설계했을 때와 비교하 라.- 2번에서 설계한 VHDL 코드를 컴파일하고 Vector Waveform File로 ... 시뮬레이션 결과를 진리표와 쉽게 비교하기위하여 Simulator mode를 Functional으로 선택한 후, 시뮬레이션을 실행하였다.그 결과 Schematic으로 설계했을 때와 HDL코드
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Post
    제외한 LCD 출력을 위한 설정을 하는 source code와 pin assignment를 위한 코드는 동일하므로, 생략하도록 한다.Resultst = 2t = 3t = 4t = ... HBE-Combo II-SE VHDLVerilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... 제어하였다.Reference교안 – Verilog HDL 실습 Lab#09 Application Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet -
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 논리회로실험 5주차 결과보고서
    결 과 보 고 서5주차부울대수의 간소화(2)Verilog HDL code 이용분반 : 0성명 : 000학번 : 2010000실험일: 0000.00.001. ... F = AB` + A`B`C와 S = B`( A+C )에 대해 Verilog HDL을 만들고 Modelsim을 이용해서 결과 파형을 작성한다.모든 Verilog HDL 문법의 시작과 ... C)` 에 대해 Verilog HDL을 만들고 Modelsim을 이용해서 결과 파형을 작성한다.모든 Verilog HDL 문법의 시작과 끝은 module과 endmodule로 끝난다
    리포트 | 6페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 시립대 전전설2 [9주차 결과] 레포트
    /pcheung/teaching/ee2_digital/Lecture%203%20-%20Verilog%20HDL-Part%201.pdf4) http://tsuba79.tistory.com ... www.ee.ic.ac.uk/pcheung/teaching/ee2_digital/Lecture%203%20-%20Verilog%20HDL-Part%201.pdf" http://www.ee.ic.ac.uk ... Purpose of this LabVerilog HDL 언어를 사용하여 character display를 위한 VFD장치 제어를 실험을 한다.나.
    리포트 | 11페이지 | 2,000원 | 등록일 2019.07.29
  • 연세대 전기전자응용실험 보고서, 코드, 강의노트 자료
    These settings are created as a module by ‘create Top HDL’, and bacome verilog source file ‘system_stub.v ... Upload the bitstream to the SDK, and use the C code in the SDK to operate the FPGA.2-1-(2) Result'Hello ... So, We could check that the bitstream has been uploaded to the port directly and correctly.
    리포트 | 5페이지 | 2,000원 | 등록일 2018.08.21
  • 디지털논리회로실험(Verilog HDL) - Switches, Lights, Multiplexors
    실험결과(flow chart, code 첨부 및 설명 등)#코드 설명*Assign mif s ==(1 bit binary number 1) : m = yelse : m = x#flow ... FPGA that are connected to theSW switches, and the output ports of your Verilog code will use the FPGA ... These assignments ensure that the input ports of your Verilog code will use the pins on the Cyclone Ⅱ
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • Lab#04 Combinational Logic Design 1
    Inlab2. 4bit Subtractor Logic designVerilog codeUcf code4bit Subtractor는 Verilog를 통해 구성해 보았다. ... Inlab4. 4bit Comparator Logic designVerilog codeUcf code4bit Comparator는 1bit Comparator과 같이 설계를 하였는데 ... (Top level Source : HDL)나) Verilog 소스 작성 후 프로젝트에 추가, 컴파일을 수행한다.다) 핀을 설정한다.(.UCF 파일 작성)라) TB파일 소스작성 후
    리포트 | 24페이지 | 1,500원 | 등록일 2016.09.11
  • 연세대 전기전자 기초실험 chapter. 10 (2017년판) 예렙+결렙
    Experimental Setup1) Vending MachineWe implemented Vending Machine by programing the Verilog HDL. ... We can adjust this state by coding. ... Because it can change the state.
    리포트 | 20페이지 | 1,500원 | 등록일 2018.07.17
  • 시립대 전전설2 [8주차 결과] 레포트
    /pcheung/teaching/ee2_digital/Lecture%203%20-%20Verilog%20HDL-Part%201.pdf4) http://tsuba79.tistory.com ... Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... www.ee.ic.ac.uk/pcheung/teaching/ee2_digital/Lecture%203%20-%20Verilog%20HDL-Part%201.pdf" http://www.ee.ic.ac.uk
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 시립대 전전설2 [5주차 예비] 레포트
    상황에 대하여 예상 타이밍 시뮬레이션을 그려오시오)다음의 Verilog HDL 코드에 대하여 sel =2’b00 또는 sel=2’b11일 때 어떤 출력이 나오는지 예상하고 in1, ... PreLab 3(다음의 Verilog HDL 코드에 대하여 sel =2’b00 또는 sel=2’b11일 때 어떤 출력이 나오는지 예상하고 in1, in2, sel에 여러가지 값이 들어가는 ... ://www.crcpress.com/downloads/51547/slides%20ch%208.pdf3) http://electrosofts.com/verilog/introduction.html5
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 최신 ASML 합격 자소서+전화영어인터뷰+자세한 면접후기
    : a Digital scanner using embedded systemC, Verilog HDL, MATLABUsing FPGA DE2-115 boardUsing Laplacian ... 성적을 잘 받기 위해 평소 마음이 맞는 사람보다 Verilog HDL언어를 잘하는 사람 위주로 조를 짰습니다. ... matrix for the main code.
    자기소개서 | 12페이지 | 3,000원 | 등록일 2016.11.20 | 수정일 2018.02.21
  • 서울시립대학교 전자전기컴퓨터설계실험2 제04주 Lab03 Pre
    Gate 설계를 해보고, Verilog HDL 문법을 익힌다.실험에 필요한 배경 지식Verilog HDLHiLo Hard Ware Description Language과 C Language의 ... HDL 실습 Lab#03 Verilog HDL, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDLVerilog HDL을 이용한 디지털 논리 회로 설계-XILINX ... Verilog HDL실험 날짜2016. 9. 26학번이름Professor조교실험 소개실험 목적HDL(Hardware Description Language)를 이용한 AND 및 NAND
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계실험2(전전설2)3주차결과
    Full adder Verilog coding다음과 같이 cout을 assign 하는데 있어서 논리 연산자는 cout = ((a^b)&cin)^(a&b)이었다. ... Verilog HDL 어휘 규칙Integer는 10진수, 16진수, 8진수, 2진수를 사용한다. ... Verilog HDL의 연산자Ⅱ. 방법 (Materials & Methods)1. Materials가.
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06-Post
    II-SE VHDLVerilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... 위의 source code에서는 임의로 1과 0을 넣어주었지만, 변수가 구조체로 선언되어있거나 복잡할 경우 가시성이 떨어진다. ... 따라서 clock impulse를 나타내는 enable 변수와 flag 변수를 같이 쓰는 것보다 flag 역할을 하는 변수를 하나만 사용하여 programming 하는 것이 코드 간소화
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대 전자전기컴퓨터설계실험2 제04주 Lab03 Post
    HDL 실습 Lab#03 Verilog HDL, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDLVerilog HDL을 이용한 디지털 논리 회로 설계-XILINX연구소 ... Verilog HDL실험 날짜2016. 9. 26학번이름Professor조교Expected ResultsAND Gate ProgrammingAnd Gate Simulation ResultInput ... 사진과 같으며, 같은 test bench source code를 사용하므로 Behavioral Modeling과 Gate Primitive Modeling이 동일하다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 23일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대