• 통큰쿠폰이벤트-통합
  • 통합검색(105)
  • 리포트(96)
  • 시험자료(9)

"BCD Adder" 검색결과 81-100 / 105건

  • 디지털논리회로실습-6장 병렬가산기 및 감산기
    [그림 A] 7483을 이용한 1디지트 BCD 가산기{BCD Inputs}A3 A2 A1 A0 B3 B2 B1 B0C-out 4-Bit Adder C-in? ... 그러나 십진법에서 BCD Code에는 1101이란 Code가 존재하지 않고 십진수 13에 해당하는 BCD Code는 00010011이 된다. ... 있어야 BCD 값을 얻을 수 있음을 알 수 있다.4Bit 2진 병렬 가산기인 MSI 칩 7483을 이용한 BCD 가산기의 블록도는 아래의 [그림 A]와 같음.
    리포트 | 14페이지 | 1,500원 | 등록일 2008.12.08
  • 디지털공학실험 11장 가산기 및 크기 비교기 (예비)
    즉 이들은 A>B 출력이 0이면 0이고 A>B 출력이 1이면 1이다.와비트는 항상0이므로 이들은 접지로 연결되어 있다.표 11-1 2진/BCD 변환가산기 입력비교기A>B출력비 고00000입력이 ... 전가산기전가산기 회로도전가산기 (영어: full adder)는 이진수의 한자리수을 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다. ... 반가산기반가산기 회로도반가산기 (영어: half adder)는 이진수의 한자리수를 연산하고, 자리올림수는 자리올림수 출력 (영어: carry out)에 의하여 출력한다.
    리포트 | 13페이지 | 2,500원 | 등록일 2010.04.06
  • 논리회로실험 결과보고서
    그래서 개발된 것이 캐리 예견 가산기(carry lookahead adder)이다. 이 가산기는 좀더 복잡한 하드웨어를 이용해서 지연시간을 줄인 실용적인 설계이다. ... INPUT B에 연결하면 BCD Bi-Quinary카운터가 된다. ... 각 입력에 어떤 신호를 가져야 하는지 필요한 연결방법을 제시 하라.-> INPUT A,B는 클럭의 입력으로 OUTPUT중 QA를 INPUT B에 연결하면 BCD 카운터가 된 다.
    리포트 | 14페이지 | 4,000원 | 등록일 2009.12.10
  • [공학]adder, subtracter & decoder
    전감산기로 바꿀 수 있다.3. decoder1. 2단 2진 카운터CLOCKAA`BB`A`B`AB`A`BAB2. 3진 카운터CLOCKAA`BB`A`B`AB`A`B3. 10진 디코더를 갖춘 BCD ... 또한, 이 두 방법을 이용하여 4-bit serial adder, parallel adder를 각각 구성하시오.① 병렬 가산기(Parallel adder)- N 비트의 가산기를 만드는 ... 논리회로실험예비보고서실험 5. adder, subtracter & decoder1. adder(1) XOR gate(IC7486), AND gate(7408)을 이용하여 반가산기를
    리포트 | 12페이지 | 3,000원 | 등록일 2007.06.18 | 수정일 2015.08.26
  • 논리회로 실험 결과레포트(가산기, 감산기, 디코더) 모든 그래프와 수식을 첨부한 레포트 입니다.
    (High 값을 가지다가, 각각에 해당되는 Clock수가 되면 Low값을 출력하게 된다.)※ 이 회로를 실험하고 나서 알게 된 점- BCD decade 카운터와 10진 디코더를 이용해서 ... Input012- 실험결과, 인풋 중 하나라도 값이 들어가지 않으면, 출력이 나오지않았기 때문에 카운터가 디코딩되려면 인풋이 모두 있어야 함을 알 수 있었다.3. 10진 디코더를 갖춘 BCD ... 구성한 뒤 각각의 입력에 대한출력치를 측정하라.- parallel 2-bit binary adder를 XOR gate(7486), AND gate(7408), NOT gate(7404
    리포트 | 15페이지 | 2,000원 | 등록일 2008.02.28
  • 디지털회로 설계의 기초 5장 연습문제 풀이
    (나) 4-비트 가감산기(adder-subracter)4-비트 가감산기를 위해서는 4개의 4-to-1 Mux가 필요하다.그러므로 ROM의 크기는 24x3(다) 4-비트 이진수를 BCD로 ... 변환하는 코드 변환기(code converter)4-비트 이진수를 BCD로 변환하는 코드 변환기를 위해서는 4-to-1 Mux가 4개 필요하다그러므로 ROM의 크기는 24x35.6
    리포트 | 6페이지 | 1,000원 | 등록일 2007.12.01
  • [논리회로] 논리회로 - Chapter 3 PROBLEMS solution
    Construct a BCD adder-subtractor using the BCD adder from Figure 3-34 and the 9’s complementer ... The adder-subtractor circuit of Fiugure 3-30 has the following values for input select S and data ... output is equal to 1 when the inputs contain any one of the six unused bit combinations in the BCD
    리포트 | 6페이지 | 1,000원 | 등록일 2004.11.06
  • 충북대학교 전기전자공학 디지털실험 5장 예비보고서
    전가산기(Full adder)74LS153은 전가산기를 구성하는데 사용할 수 있다. ... -Decoder는 n개의 2진 코드나 BCD코드를 입력하여 최대 개의 숫자나 문자를 출하는 소자를 말한다. 쉽게 말해 입력으로 출력을 결정하는 소자이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2008.02.18
  • [공학기술]디지털 시스템 설계 3장 연습문제(일부)
    Convert the following circuit as is to use only 2-input NOR gates.P3.20 Convert the following full-adder ... These two BCD numbers represent the tenth and unit digits of a decimal number. ... 15)P3.37 write the behavioral VHDL code for converting an 8-bit unsigned binary number to two 4-bit BCD
    리포트 | 8페이지 | 3,000원 | 등록일 2007.06.11
  • 컴퓨터활용능력시험 자료 정리
    결과값 등을 일시적으로 기억하는 임시 기억 장소로 가장 속도가 빠름 (연산 속도를 향상시키기 위해 사용)① 연산장치- 제어장치의 명령에 따라 실제로 연산을 수행하는 장치- 가산기(Adder ... 1110 1011부동 소수점 표현 : 과학이나 공학, 수학적인 응용에 사용되는 실수표현 방법으로 부호부, 지수부, 가수부로 나뉜다.0 1 78 31부호지수부가 수 부□ 문자 자료의 표현BCD
    시험자료 | 18페이지 | 1,500원 | 등록일 2011.11.11
  • [VHDL] 가산기
    in으로 들어가는 가산기.우리가 일반적으로 많이 보고, 설계해 왔던 Full Adder이다.(2) BCD AdderBCD가산기란 아래 그림에 나타낸 것과 같이 BCD 코드로 표현된10진 ... 따라서 이 출력 값을 그림 6-7(b)와 같이 BCD 코드로 변환만 해준다면, 2개의 BCD 숫자를 더해 그 결과를 다시 BCD로 출력해주는 BCD가산기를 만들 수 있게 된다. ... (5) Dynamic Serial Adder(6) Carry Save AdderCarry Save Adder는 피연산자가 큰 수이면 사용한다.(7) Carry Skip Adder(8
    리포트 | 18페이지 | 3,000원 | 등록일 2003.08.13
  • [전자공학 ] VHDL으로 7 segment 디코더 설계
    BCD-7세그먼트 디코더(BCD to 7segment decoder)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다. ... 여기서 입력값이 최대 6 이므로, 7-Segment에 표시되는 숫자는 0∼6 까지의 범위라는 걸 기억하자.결국 2bit-2Input Adder를 사용해서 7-Segment의 불을 키는게 ... f, dp는 "0"을 출력하고, common 단자 d, e, g 는 "0", c, f, d, p는 "1"을 출력하고, common 단자에는 "1"을 출력하여야 한다.▲ CODE--adder2bit.vhdlibrary
    리포트 | 8페이지 | 1,500원 | 등록일 2004.03.11 | 수정일 2021.12.21
  • [디지탈 논리회로]디지탈 논리회로
    2진 숫자로 구성한 것 BCD 코드에서는 0∼9까지의 10개만을 사용하고 나머지 6개(1010, 1011, 1100, 1101, 1110, 1111)는 사용하지 않는다BCD 코드BCD ... ) -반가산기(Half Adder) -전가산기(Full Adder) 감산기(Subtractor) -반감산기(Half Subtractor) -전감산기(Full Subtractor) 디코더 ... 디지털 논리회로부호의 코드화BCD 코드(Binary Coded Decimal) 3초과 코드(Excess-3 Code) 그레이 코드(Gray Code)BCD 코드(Binary Coded
    리포트 | 52페이지 | 1,000원 | 등록일 2004.03.29
  • [asic] slice adder
    문제 설명VHDL 프로그램을 사용하여 FULL ADDER 2개를 이용하여 2BIT SLICE ADDER를 구성하고 SLICE ADDER와 3 X 7 DECODER를 만들어 연결한후에 ... 같이 A, B, C, D, E, F, G의 7개의 LED로 구성되어 있으며 각 SEGMENT에 1 의 신호가 입력되면 LED가 ON되어 원하는 10진수의 숫자를 표시할 수 있다.BCD는 ... 10진수의 숫자 (0에서 9)를 2진수로 보호화 한것이며 이를 7-SEGMENT 표시장치(Y)를 이용하여 아래와 같은 진리표를 작성할 수 있다.BCD TO 7-SEGMENT DECODER
    리포트 | 8페이지 | 1,000원 | 등록일 2003.03.28
  • [컴퓨터과학] 컴퓨터 과학 중간대체 레포트(10문제)
    보관된 값과 누산기의 값을 더하여 결과를 다시 누산기에 보관하는 역할을 한다.가산기는 반가산기(Half Adder)와 전가산기(Full Adder)로 나누어진다. ... BCD(Binary Coded Decimal) 코드에 대해 설명하시오.BCD코드는 모든 코드의 기본이 되는 코드로, 4비트의 2진수를 이용하여 1자리의 10진수를 표현한 코드방식을 ... 조합 논리 회로의 가산기(adder)에 대해 설명하시오.가산기란 컴퓨터의 연산 기능 중에 덧셈의 기능을 수행하는 것으로 두 숫자의 비트를 함께 더하는 기본적인 전자 회로이고, 레지스터에
    리포트 | 11페이지 | 1,000원 | 등록일 2004.05.16
  • [디지털] BCD 계산기
    BCD 계산기건국대학교 김주원프로젝트 목표가감산, 곱셈 기능을 BCD연산을 통해 구현 추가 기능에 대해 탈착식 업그레이드가 가능한 디바이스 구현문제점단점 - 하드웨어로만 이루어졌고 ... 사용)회로도전체회로도 입력부 연산부 출력부전체회로도연산표시부입출력부멀티플렉서가산기감산기곱셈기-1곱셈기-2곱셈기-3곱셈기-4연산부분 알고리즘기본적으로 74LS283 4Bit Full Adder칩을
    리포트 | 22페이지 | 1,000원 | 등록일 2003.03.11
  • [VHDL설계] VHDL 문제풀이와 출력그림(한학기분)
    Report 1 BCD Priority Encoder1.
    리포트 | 15페이지 | 1,000원 | 등록일 2004.03.31
  • 멀티플레서 가산-감산 예비
    전가산기(Full adder)74LS153은 전가산기를 구성하는데 사용할 수 있다. 2개의 Multiplexer중 하나는 합을 발생시키는데 사용되고, 다른 하나는 자리올림수를 발생시키는데 ... .Decoder 와 demultiplexer 회로를 비교, 설명하라.앞에 있는 것은 decoder회로이고 두 번째 것은 de-multiplexer이다.Decoder는 2진 코드나 BCD코드
    리포트 | 2페이지 | 1,000원 | 등록일 2004.03.20 | 수정일 2014.08.20
  • 디코더와 인코더
    }bar { B }bar { C }, D`_{ 1 }=bar { A }bar { B }C, D`_{ 2 }=bar { A }Bbar { C }, D`_{ 3 }=bar { A }BCD ... 가산기의 종류에는 반가산기(half adder)와 전가산기(full adder)가 있다.☞ 반가산기(half adder)자리올림은 고려하지 않고 두 비트 A, B만을 입력으로 받아서 ... ) ◈컴퓨터의 연산 기능 중에 덧셈의 기능을 수행하는 것이 가산기(adder)이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2003.03.22
  • [전자공학]시뮬레이션을 통한 논리게이트의 이해
    입력출력AB{C_{ out }{C_{ out }0000000101010010111010001101101101011111이 외의 조합 논리 회로로는 리플캐리 가산기, MSI 가산기, BCD ... {입력출력AB{C_{ out }0000011010101101- 전가산기(Full Adder)· 캐리를 포함한 3개의 입력을 받아 Sum과 캐리를 출력한다. ... .- 반가산기(Half - Adder)· 두개의 2진 숫자를 입력받아, 2개의 2진 숫자(Sum 비트와 캐리 비트)출력한다.· 캐리 출력({C_{ out })은 A와 B가 모두 1일
    리포트 | 10페이지 | 1,000원 | 등록일 2005.04.22
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 25일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:14 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대