• 통큰쿠폰이벤트-통합
  • 통합검색(105)
  • 리포트(96)
  • 시험자료(9)

"BCD Adder" 검색결과 61-80 / 105건

  • 전기전자회로실험 가산기만들기
    코드 예제 ) ( 단 , non BCD 코드는 Don ’ t care condition 을 사용하여라 .) ☞ K - MAP 은 그룹의 크기가 클수록 단순해진다 . yminterm ... 10 1 1 1 1 1 X X X X X X 0 0 0 0 0 x F1 (w,x,y,z) = w + x z + x y G = 7 Input : F1(w,x,y,z) 은 5 이상의 BCD ... Full Adder) 안에는 HA(Half Adder) 블록도가 2 개 존재한다(1) 그림 4 의 진리표를 갖는 최대한 단순화된 논리회로도를 그려라 .
    리포트 | 35페이지 | 2,000원 | 등록일 2012.11.01
  • 4자리 2진수 가산기, 감산기 설계결과보고서
    전체 설계7487을 이용한 가감산기7487과 Full adder을 이용하여 ORCAD로 설계한 회로이다.BCD가산기 회로8421을 이용하여 가산기를 제작한 회로이다.ORCAD를 이용하여 ... BCD의 가산 결과가 된다.② BCD 가산 결과가 10부터 15일 경우 BCD는 0부터 9까지 밖에 나타낼 수 없으므로 BCD의 자리올림이 필요하며 그 합에서1010 _{2}을 뺄셈 ... 관련이론1) BCD 가산기BCD코드는 10진수의 수로 0~9의 범위만을 표현한다.
    리포트 | 8페이지 | 3,000원 | 등록일 2013.07.01
  • [논리회로실험설계] 한 자리 십진수 가산기
    설계 문제6조 텀 프로젝트 설계설계2: 한 자리 십진수 가산기 (필요부품, AND, OR, NOT, 4-bit adder 2개)조건: 8421 코드 사용, Carry-in 고려, 합이 ... 기자재① IC 7408 : 2 입력 AND 게이트(=> 수업 때 자주 본 소자로서 자세한 내용은 생략하겠습니다.)② IC 7404 : NOT 게이트③ IC 7483 : Full Adder ... 실험에서 하는 프로젝트이 주어진 3개의 설계 중 7세그먼트를 기본 소자를 이용하여 디코더를 제작한 뒤 그 출력 값들을 이용해 7-세그먼트를 구현 해보는 설계, 7483 Full Adder
    리포트 | 14페이지 | 2,000원 | 등록일 2011.07.14
  • 1-bit Full Adder and 8-bit carry select Adder Design
    우선 BCD Adder의 대략적인 회로의 설계모습은 다음과 같다.위의 그림을 통해서 쉽게 확인할 수 있듯이, BCD Adder는 4 Bit binary ripple carry adder ... , 첫 번째 실험에서 만든 4 Bit binary ripple carry adder를 이용하여 BCD Adder를 만들었다. ... 그리고 마지막으로 BCD Adder는 4 Bit binary ripple carry adder 두 개와 AND Gate 2개, OR Gate 1개로 이루어진 Adder이다.
    리포트 | 7페이지 | 3,000원 | 등록일 2010.01.29 | 수정일 2023.06.21
  • BCD 가산기 (7483, 전가산기 두가지방법) 쿼터스
    동작원리1) 전가산기전가산기(Full Adder)는 캐리입력까지도 취급할 수 있는 가산기 즉, 3자리의 2진수를 가산할 수 있는 가산기이다.위의 회로는 전가산기의 회로를 알기 위해 ... 가산 결과가 된다.② BCD 가산 결과가 10부터 18일 때이 때에 BCD는 0부터 9까지 밖에 나타낼 수 없으므로 BCD의 자리올림이 필요하며, 그 합에서 1010을 (-)하든지 ... 따라서 그대로는 가산이 되지 않으며 다음과 같은 보정과정을 거쳐야 올바른 BCD 결과를 얻을 수 있다.① BCD 가산결과가 0부터 9일 때이 경우에는 2진 가산의 결과가 그대로 BCD
    리포트 | 14페이지 | 3,000원 | 등록일 2011.12.03 | 수정일 2021.04.11
  • 디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
    실험목표이번 실험의 목표는 4bit full adder를 VHDL언어로 코딩하여 ModelSim프로그램으로 시뮬레이션하는 것이었다. (단 XOR를 사용하지 않는다.)2. ... 실험과정 및 소스코드4bit full adder를 작성하기에 앞서 4bit fulladder의 구성요소로 사용할 1bit fulladder를 코딩하여야 했다.@1. 1bit full ... adder 코딩1bit fulladder는 세가지 입력과 두가지 출력을 가지는데, 세가지 입력은 모두 bit로 선언하였고, 두가지 출력 역시 bit로 선언하였다.세가지 입력 X,
    리포트 | 16페이지 | 2,000원 | 등록일 2014.10.13 | 수정일 2015.12.07
  • 디지털 시계 실제로 구현하기
    사용한 부품 목록▶ 7-segment : 4개▶ 74LS47 (BCD to 7-segment Decoder) : 6개▶ NE555 (timer) : 1개▶ 74LS90 (Decade ... and binary counter) : 12개▶ 74LS83 (4-bit binary full adder with fast carry) : 2개▶ 74LS85 (4-Bit Magnitude
    리포트 | 12페이지 | 20,000원 | 등록일 2016.05.20 | 수정일 2021.05.30
  • 디지털 시계 만들기
    디지털 시계 만들기필요 부품Seven segment 6개7447A BCD to seven segment 디코더/구동기 5개7483A 4bit binary full adders with
    리포트 | 3페이지 | 3,000원 | 등록일 2010.06.15
  • [컴퓨터 공학 실험] 논리 및 연산회로{가산기(Adder),감산기(Subtractor),부호 변환기(Code converter)}
    ) 설계절차 8421(BCD)-2421 부호 변환기 4-bit 2 진 부호 (4-bit Binary Code) – Gray 부호 변환기가 ) 가산기 (Adder) 정의 두 개 이상의 ... 회로 검증 : 실험값이 진리표의 이론값와 일치하는지 확인한다 .다 -2) 8421(BCD)-2421 부호 변환기 956 = 1110111100(2) 1001 0101 0110(BCD ... 논리 및 연산회로 Xxx( 이름 ) xxx목 차 가산기 (Adder) 반가산기 (Half Adder) 전가산기 (Full Adder) 병렬 가산기 (Parallel Adder) 와
    리포트 | 24페이지 | 1,000원 | 등록일 2009.03.25
  • 쿼터스프로그램을이용한덧셈기뺄셈기
    (출력 V의 exclusive-OR는 오버플로를 검출한다.)[4비트 덧셈기-뺄셈기]M=0 일때 adder, M=1 일때 subtractor로 작동한다. ... 덧셈, 뺄셈후에 V=0이면 오버플로가 아니고, V=1이면 오버플로다2) 덧셈기두 BCD수를 더하고 BCD의 합을 생성하는 BCD 덧셈기가 아래 그림에 있다. ... 하위 덧셈기에서 생성된 출력 캐리는 이미 출력 캐리단에서 정보를 제공했기 때문에 무시 할 수가 있다. n개의 10진수를 더하는 10진 병렬 덧셈기는 n개의 BCD덧셈기의 단들이 필요하다
    리포트 | 4페이지 | 1,500원 | 등록일 2010.04.20
  • 디지털 회로 레포트
    ◆ VHDL code 로 나타내면 다음과 같이 표현 할 수 있다.library ieee;use ieee.std_logic_1164.all;entity segment isport (i:in std_logic_vector(3 downto 0);O:out std_logic_..
    리포트 | 6페이지 | 1,000원 | 등록일 2010.11.30
  • 덧셈기 밸셈기
    기초이론이번 실험의 설계요구 사항은 4bit BCD adder/subtracter를 설계하는 것이다.일단 이번 회로를 설계하기 위해서는 반가산기와 전가산기에 대해서 알아야 한다.□ ... 즉 9 다음엔 10이지만 BCD에서는 0~9 까지의 숫자만 사용하기 때문에 9 다음엔 0이 된다.e) BCD 덧셈기의 블록도표위의 회로는 BCD 덧셈기의 자리올림을 이용한 회로로서 ... 즉 이 회로를 가지고 조금만 생각해 보면 만들 수 있다.□ BCD 4bit 덧셈기/뺄셈기위의 BCD 4bit 덧셈기와 뺄셈기의 회로를 조합하여 이번 과제의 목적인 BCD 4bit 덧셈기
    리포트 | 6페이지 | 1,500원 | 등록일 2010.04.20
  • 디지털로직실험/최신 디지털 공학 실험 11 가산기와 크기비교기
    우리는 먼저 2진/BCD 변환기를 만들어보고 그 다음으로 2진/Excess-3 변환기를 만들어보기로 했다. ... 4비트 크기 비교기7404 6조 인버터LED 5개4조 DIP 스위치 1개저항: 330Ω 5개, 1.0kΩ 9개이론 요약이번 실험에서는 두 가지 중요한 MSI 회로인 4비트 가산기(adder ... LED가 ON일 때는 논리 1을, OFF일 때는 논리 0을 나타낸다.먼저 2진/BCD 변환기를 만들기 위해 주어진 회로 그림 11-1을 보자.
    리포트 | 18페이지 | 1,000원 | 등록일 2014.06.29
  • 실험3 반가산기 및 전가산기 의 개요 예비리포트
    10진수 0~9까지 만을 표현할 수 있는 BCD 가산기(8421), 3초과 가산기, 10진 가산기 등이 있다.(1) 반가산기반가산기 (half adder)는 이진수의 한자리 수를 연산하고 ... )와 전가산기(Full Adder), 전가산기와 반가산기를 이용하여 nbit의 덧셈을 행하는 병렬 가산기(Parallel Adder), 올림 수 예측 가산기, 4 bit를 이용하여 ... 설계방법을 공부한다.(2) 설계된 회로의 기능 측정◆ 이론- 산술연산은 전자계산기나 컴퓨터 등 모든 디지털 시스템에서 가장 중요한 정보처리 과정이며, 종류로는 반가산기(Half Adder
    리포트 | 3페이지 | 1,000원 | 등록일 2009.10.26
  • 조합논리회로
    인코더 ( Encoder )(1) 10진 => BCD encoder① 10진 => BCD block diagram 은 다음그림과 같다.② 진가 표는 다음표와 같다.③ 진가표의 분석㉠ ... 가산기 와 감산기(1) 반가산기(Half Adder) => 2개의 2진수 A와B를 더하여 그 합의 출력 S(Sum),자리올림 Co (Carry)의 출력을 얻는 논리회로이다.다음 표는 ... 그 아래그림은 반가산기의 논리회로이다.표에서 합(Sum)에 대한 논리식 S와 자리올림(Carry)에 대한 논리식 Co를 최소 항으로 구하면 다음과 같다.(2) 전가산기 (Full Adder
    리포트 | 10페이지 | 1,000원 | 등록일 2009.04.30
  • 실험 예비보고서 5주차 MSI/LSI 연산회로
    BCD 연산- 2개의 BCD (10진수)와 입력 캐리를 더하는 회로? 4-bit 2진가산기 + Binary-to-BCD code converter(코드 변환기)? ... > fast adder, high cost회로도3. 8-bit 2진 병렬 연산 방법- 4bit 2진 가산기 두 개로 입력을 받아서 각각의 가산기가 4bit씩 출력하여 8bit의 값을 ... BCD 코드 변환기0 ~ 9 : 0 0000 ~ 0 100110 : 0 1010 → 1 0000 (+0110)11 : 0 1011 → 1 0001 (+0110)12 : 0 1100
    리포트 | 2페이지 | 1,500원 | 등록일 2008.11.08
  • 컴퓨터활용능력 1급 필기 1교시(컴퓨터일반)
    가산기(Adder) 2진수의 덧셈을 수행하는 회로? 보수기(Complementor) 뺄셈의 수행을 위해? 누산기(Accumulator)? RISC VS CISC? ... BCD 56가지 표현? ASCII 128가지 표현? EBCDIC 256가지 표현? 내게 필요한 옵션에서? 마우스 탭 : 숫자패드를 마우스처럼 사용 하는 기능 지정 가능?
    시험자료 | 6페이지 | 1,500원 | 등록일 2013.07.02 | 수정일 2013.11.14
  • 컴퓨터 Data & 연산의 이해
    반가산기(Half Adder) 데이터 구조 1. 배열(Array) 2. 포인터와 연결 리스트 3. 스택(Stack) 4. 큐(Queue) 5. 데크(Deque) 6. ... 반가산기(Half Adder) - 반가산기는 입력 변수가 2개이며, 입력되는 2진수의 합(Sum)과 자리 올림수 (Carry)를 계산하는 회로1*************00CSBAS= ... 문자 Data ● 2진화 10진(BCD : Binary Coded Decimal) 코드 - BCD 코드는 제 2세대 컴퓨터에서 주로 사용하였던 코드로 4bit, 5bit, 6bit로
    리포트 | 37페이지 | 2,500원 | 등록일 2009.02.05
  • 논리실험 (반가산기 및 전가산기).
    0~9까지 만을 표현할 수 있는 BCD 가산기(8421), 3초과 가산기, 10진 가산기 등이 있다.(1) 반가산기반가산기(HA: Half Adder)는 한 자릿수의 2개의 2진 ... )와 전가산기(Full Adder), 전가산기와 반가산기를 이용하여 nbit의 덧셈을 행하는 병렬 가산기(Parallel Adder), 올림수 예측 가산기, 4 bit를 이용하여 10진수 ... 설계방법을 공부한다.(2) 설계된 회로의 기능 측정◆ 이론- 산술연산은 전자계산기나 컴퓨터 등 모든 디지털 시스템에서 가장 중요한 정보처리 과정이며, 종류로는 반가산기(Half Adder
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.19
  • [가산기레포트]총정리 리포트(전가산기,반가산기,이진병렬가산기,BCD가산기)
    반 가 산 기반가산기(half adder) 회로는 2진수 덧셈에서 맨 오른쪽 자리를 계산할 때 사용할 수 있도록 만든 회로로, 그림1-1에 나타낸 것과 같이 2개의 비트 A와 B를 ... 전 가 산 기전가산기(full adder)란 그림1-2과 같이 2개의 비트 A, B와 밑자리로부터의 자리올림 Ci을 더해 합 S와 윗자리로의 자리올림 Co를 출력하는 조합회로이다. ... BCD가산기다음에는 10진수 덧셈을 수행할 수 있는 BCD가산기를 설계해 보자.
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.06 | 수정일 2018.10.17
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 25일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:10 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대