• 통큰쿠폰이벤트-통합
  • 통합검색(105)
  • 리포트(96)
  • 시험자료(9)

"BCD Adder" 검색결과 41-60 / 105건

  • [VerilogHDL] 4bit 2진 덧셈기 설계(7segment 제어)
    .■ 회로구현▪ 2개의 4비트 2진 코드를 BCD코드로 변환하고 더하는 블록(adder4_bcd)설계▪ adder4_bcd 블록의 논리회로 표현module adder4_bcd(dataa ... , datab, bcd1a, bcd1b, bcd1s,bcd10a, bcd10b, bcd10s);input [3:0] dataa, datab;output [3:0] bcd1a, bcd1b ... , bcd1s;output [1:0] bcd10s;output bcd10a, bcd10b;reg [4:0] sum;reg [3:0] bcd1a, bcd1b, bcd1s;reg [1:
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • Logic Works를 이용한 ALU를 기반의 사칙연산 계산기 구현
    Full Adder를 통해 출력된 결과물은 D0~D15과 Cout이며, 16bit 감·가산기를 빠져 나온다.연결 되어 있는 2’s Compl은 2의 보수를 취해주는 심볼이다. ... 이 때에는 동일하게 미리 값이 0으로 설정된 a1~a15와 1로 변경된 a0 그리고 출력 값인 D0~D15를 각각 NOT gate를 통과시켜준 값들의 Full Adder 연산이 현 ... 가산기의 마지막 올림값인 Cout과 modeS0의 XOR 을 이용하였다.16bit 감·가산기 내부에서는 4X1 MUX를 이용하여 입력 값 a0~a15에 입력 값 b0~b15를 Full Adder
    리포트 | 31페이지 | 3,000원 | 등록일 2016.08.16
  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    ABS 구현[그림 6] Adder/Subtracter 구조도[그림 7] add/sub+ABS+7-Segment block diagram- ABS FPGA 보드 검증① 0011(3) ... P8~P9는 BCD의 100의 자리를 나타내고 P4~P7은 BCD의 10의 자리, P0~P3은 BCD의 1의 자리를 나타낸다. ... BCD-to-7 segment 구현[표 2] BCD-to-7 segment 진리표[그림 3] BCD-to-7 segment 시뮬레이션 결과 (입력 : A | 출력 : P,P10)3.
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • 쿼터스를 이용한 전가산기와 8421 가산기(BCD가산기)를 설계 보고서
    동작원리1) 전가산기 전가산기(Full Adder)는 캐리입력까지도 취급할 수 있는 가산기 즉, 3자리의 2진수를 가산할 수 있는 가산기이다.2) BCD 가산기2진화 10진수는 4비트로 ... 그대로 BCD의 가산 결과가 된다.② BCD 가산 결과가 10부터 18일 때 이 때에는 BCD는 0부터 9까지 밖에 나타낼 수 없으므로 BCD의 자리올림이 필요하며, 그 합에서 ... 따라서 그대로는 가산이 되지 않으며 다음과 같은 보정과정을 거쳐야 올바른 BCD 결과를 얻을 수 있다.① BCD 가산결과가 0부터 9일 때 이 경우에는 2진 가산의 결과가
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • <논리회로실험>가산기와크기비교기
    가산기와 크기비교기**전자공학과adder and magnitude comparator**Electronic EngineeringⅠ. ... 서 론BCD가산기는 2개의 BCD숫자를 병렬로 합산하여 역시 BCD로 합의 숫자를 생성하는 회로이다. ... BCD가산기는 그의 내부 구조에 보정 논리를 포함하고 있어야 한다. 2진식 합에 0110을 합하려면 제 2의 4비트 2진식 가산기를 쓴다.두 수의 비교는 한 수가 다른 수보다 큰가,
    리포트 | 3페이지 | 1,500원 | 등록일 2015.12.14
  • [디지털 논리회로 실험] 8장. 보수와 병렬 가, 감산기 결과레포트
    실험마다 모두 다른 결과 값을 갖게 되었다.- 참고문헌 -디지털 논리실험 본 교재 제 8장http://www.engineerclub.in/2014/04/vhdl-code-for-bcd-adder.html ... BCD 가산기다음과 같이 BCD 가산기 회로를 결선하라. ... 따라서 사용하지 않는 1010~1111 까지의 코드는 BCD가산기를 사용해야하기 때문에 +6(0110)을 더해준다. 그러한 과정에서 자리올림C4가 발생한다.
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • verilog code - (combo kit) 4bit 2진 덧셈기를 7-segment로 출력
    또한 키를 두 번 입력받기 때문에, 그 키를 시간을 두고 adder4_bcd에 전달 할 수 있도록 한다.ⅱ) adder4_bcd: keyin 모듈에서 4bit로 표현된 두 수를 받아 ... 그리고 그 덧셈을 한 두 수와 덧셈의 결과값을 십진수 표현 바꾸어 7-segment 모듈로 전달한다.ⅲ) dy_segment: adder4_bcd에서 받은 십진수로 표현된 덧셈한 두 ... kit_4adder_7seg▶ kit_4adder_7seg 의 최종 작동1.
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 디지털시스템 실험(SR Latch, JK, D FF, Register, Shift Register, Register를 이용한 가산기)
    두 번째 실험에서는 Decimal to BCD converter, Register 그리고 4Bit Adder/Subtracter를 결합하여 숫자 하나를 입력하면 첫 번째 Register에 ... 저장이 되고 다음 숫자가 입력이 되면 첫 번째 Register에 저장되어 있던 숫자는 두 번째 Register로 넘어가서 저장이 되고 그 자리에는 새로운 숫자가 저장되어 4bit adder ... JK Flip Flop을 이용하여 만든 BCD Ripple Counter이다.5.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.03
  • 논리회로설계실험 프로젝트 8Bit 계산기
    설계 내용과 방법(1) 설계 내용1) 소스 코드BCD_ ADDER7 segmentSchematic2) 테스트벤치(2) 설계 방법1) BCD adderBCD가산기는 기본적으로 4bit를 ... 그리고 sum은 bcdadder의 출력값이므로, 7segment와 bcd adder를 잇는 선에서 sum값을 가져와서 출력되게 구성하였다.4. ... BCD코드를 십진법으로 변환 한 후에 다시 BCD코드로 변환해주어야 하는 단점이 있다.십진수를 이진수로 나타내기 위해서는 나눗셈을 시행하는 복잡한 회로가 요구되기 때문에, BCD코드는
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • [텀프로젝트]디지털 논리회로 설계 - 2자리수 16진수 가감산기
    BCD Decode r Full Adder Full Adder Full Adder BCD Decoder 7 segment AND OR 7 segmen tCircuitEquipment ... Full Adder를 이용하여 가산만 가능하지만 X- OR을 이용 , 보수화 시켜 감산기 기능까지 가지게 한다 . ... Binary to decimal ConvertBlock Diagram DIP S/W 8 to 2 Encoder NOT Register 0 - Add 1 - Sub X-OR Full Adder
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.13 | 수정일 2014.12.23
  • verilog code - (combo kit) 10진수 2자리수 계산기(덧셈,뺄셈,나눗셈,곱셈), 7-segment, vfd로 출력
    module로 구현)module adder_bcd_2digit(data10a, data1a, data10b, data1b, bcd100s, bcd10s, bcd1s);input [ ... Dot Matrix“정성훈” 이라는 문구가 오른쪽에서 왼쪽으로 무한히 흘러가게 한다.ⅰ) 2 digit Adder 구현을 위한 주요 module과 그 module에 대한 설명 (하나의 ... 3:0] data10a, data1a, data10b, data1b;output [3:0] bcd10s, bcd1s;output bcd100s;reg [3:0] bcd10s, bcd1s
    리포트 | 32페이지 | 3,000원 | 등록일 2014.04.25
  • 결과보고서 - 4bit Adder Subtractor
    /Subtractor실험목표4Bit Adder/Subtractor를 설계한다.실험 결과4Bit Adder/Subtractor를 만들기 위해 먼저 Full Adder를 만들었다.line ... 4개를 합치게 되는데 이때 아래의 그림처럼 하나의 Full Adder에서다음의 Full Adder로 캐리를 넘겨주기 위한 wire가 필요하다. ... 이 모듈은 앞에서 만든 1bit fulladd모듈을 사용하여 4bitFull Adder를 만드는 과정을 담고 있다.line 1 : adder4 라는 모듈을 선언해주고 변수를 지정해
    리포트 | 3페이지 | 1,500원 | 등록일 2017.11.08
  • BCD 코드를 이용한 10진 가산기
    데이터 형/ 변수범위Output /데이터 형/ 변수범위architecture 이름Signal 지정(범위)X → 4bit , z → 5bit (비트첨가)BCD Adder (10진 덧셈기 ... Uiv ElectronicsBCD Adder (Simulation)Digital design May 6. 2008Chonnam Uiv ElectronicsBCD Adder (10진 ... design May 6. 2008Chonnam Uiv Electronics2개의 BCD숫자를 병렬로 합산하여 역시 BCD로 합의 숫자를 생성하는 회로BCD 코드BCD의 0~9까지의
    리포트 | 33페이지 | 3,000원 | 등록일 2008.10.05
  • 디지털시스템 verilog 실험 결과보고서의 모든 것,BCDto7Segment, FlipFlop, Counter, RAM, 유한상태머신회로, Dotmatrix, Stopwatch etc
    한번에 Binary to 7Segment를 설계할 수 없어서 Binary to BCD 변환과 BCD to 7segment를 각각 만들어 연결하는 식으로 설계를 하였다.BinarytoBCD ... Full Adder2. 4bit Adder3. 4bit Subtractor[결과 및 토의]full_adder, 4bit_adder, 4bit_subtractor 순으로 verilog ... HALF ADDER실험목표HALF ADDER코드를 작성하여 HALF ADDER의 기능을 확인하고 Altera QuartusⅡ프로그램을 확인해본다.작성코드및코드설명[ 작성코드 & 코드설명
    리포트 | 32페이지 | 3,000원 | 등록일 2012.11.27
  • 아주대학교 논리회로실험 설계 에비보고서
    즉, BCD data를 7seg control signal로 decoding해주는 decoder기능을 한다고 할 수 있다. ... 중간에 보이는 4 Bit Adder인 IC 74283의 경우 가산기로써, 우측의 not, and, or gate 들과 함께 숫자 반전의 기능을 수행한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • 가산기, 감산기
    이론요약1비트 2진 가산기는 반가산기(Half Adder)와 전가산기(Full Adder)로 나누어 생각할 수 있다.인간의 계산 능력에 따라 여러 비트의 두수를 더할 때, 가장 낮은 ... 9)까지의 4비트 2진수는 BCD 수와 같다. ... BCD는 0~9까지만 표현하므로, 그 연산 결과가 9를 초과하는 경우 두 자리의 BCD로 표현해야 한다. 10~15까지의 6개 숫자는 사용하지 않으므로, 9를 초과하는 숫자는 6을
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.20
  • #5 디지털실험 결과
    11.786ns최소클럭 주기: 11.786 * 2= 23.672 ns▶ 실험 동작SW 0~7번까지 조작하는데 그에 따른 LEDR에 불이 들어오고, 0~3 SW와 4~7번 SW에 따른 BCD ... 숫자를 더하고 그에 나온 출력 5bit binary code를 LEDG에 출력한다.▶ DiscussionFull Adder는 책에 회로가 나와있어 구현하는데 어렵지 않았다. ... .▶ 코드분석Full Adder 모듈을 구현하여 4비트 끼리의 덧셈을 하는 코드. 1번 FA에b[0] + a[0] + Cin = C[1] + LEDG[0] (출력)C[1]이 다음 FA에
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 8421(BCD) 가산기 설계 제안서 및 설계 결과 보고서
    전가산기(Full Adder) - 전가산기는 3개의 입력 비트들의 합을 계산하는 조합회로로 전가산기는 3개의 입력과 2개의 출력으로 구성된다. ... 1자리를 나타내는 특징이 있다. 1. 8421(BCD) Code - 8421(BCD) Code(Binary Coded Decimal Code : 2진화 10진 코드)는 10진수의 ... - 4bit 2진 전가산기 소자인 74LS87을 이용하여 8421(BCD) 가산기를 설계한다. - 74LS87소자는 4bit 2진 전가산기 소자이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.17
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    Binary-to-BCD Converter표1과 같이 Binary를 BCD로 변환 하려면 10 이상이 BCD 코드의 0000이 되어야 한다. ... BCD-to-7 segment 구현BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다. ... BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • 산술논리연산 (결과)
    01 0 10 11 1 00 11 1 11 13비트 합의 진리표Ci+1 의 K-mapSi 의 K-map전가산기(FA)의 심볼전가산기(FA)의 논리회로그림 6-2 전가산기(Full Adder ... 심볼진리표로부터 합 S는 x와 y의 XOR이고, 캐리 C는 두 수의 AND 임을 알 수 있다.따라서 이를 수행하는 논리회로는 그림 6-1(c)와 같이 구성되고, 이를 반가산기 (Half Adder ... BCD 가산기BCD가산기란 BCD 코드로 표현된 10진 숫자 2개를 입력으로 받아 덧셈을 수행하여 그 결과를 BCD 코드로 출력하는 회로를 말하며, 십진가산기라고도 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2012.07.03
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 25일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대