• 통큰쿠폰이벤트-통합
  • 통합검색(986)
  • 리포트(912)
  • 시험자료(68)
  • 논문(5)
  • 자기소개서(1)

"bcd코드" 검색결과 761-780 / 986건

  • 연세대 전기전자 기초실험 8. 조합 회로 설계 실험 (예비보고서)
    BCD 값을 입력하면 그에 맞는 값을 7-Segment에 표현하며 BCD to 7-Segment Decoder/Driver 라고 부른다. ... 변환하는 반면에 디코더는 반대로 2진 코드를 받아들여 10진수로 변환하는 역할을 한다. ... 그 외에도 3 to 8 Decoder/Demultiplexer 인 74HC138, BCD-to-decimal Decoder/Driver 인 HD74LS42, Dual 2-to-4 line
    리포트 | 5페이지 | 1,000원 | 등록일 2007.12.30
  • [공학기술]디지털 시스템 설계 3장 연습문제(일부)
    These two BCD numbers represent the tenth and unit digits of a decimal number. ... 15)P3.37 write the behavioral VHDL code for converting an 8-bit unsigned binary number to two 4-bit BCD
    리포트 | 8페이지 | 3,000원 | 등록일 2007.06.11
  • 디지털회로실험 > 결과보고서. Exclusive-OR 게이트와 그응용
    중요한 특징을 지니게 되어 많이 사용되고 있다.그레이 코드로의 변환 과정은 다음과 같다.1) 그레이 코드의 첫번쩨 비트는 BCD 코드를 그대로 사용한다.2) 그레이 코드의 두번째 비트부터는 ... 그레이코드BCD 코드와는 다르게 앞뒤의코드가 한 비트만 변화하는 특징을 지니고 있으므로 연속적인 특징을 지닌 아날로그 자료를 입력으로 받는 시스템에서 자료의 오류를 알 수 있는 ... BCD 코드의 앞 비트와 해당번째의비트를 Exclusice OR 하여 생성한다.(6) 그림과 같은 XOR 응용회로를 결선하고 입력 g1, g2, g3, g4에 따른 출력 A, B,
    리포트 | 5페이지 | 1,000원 | 등록일 2007.11.12
  • 디코딩 및 엔코딩.hwp
    그림 12-7과 표 12-3R을 비교하고, 그림 12-7의 회로가 익세스-3 코드를 어떻게 발생하는가에 대해 설명하시오.BCD코드에서 이진수 0011를 뺀 것이 익세스-3이다.처음에 ... 다음의 코드에 따라 이것을 엔코드하려고 한다. 이것을 수행하는 논리도를 그리시오.6. ... 키패드의 0에서부터 F까지 입력에 대해 각각을 7세그먼트에 표시하는 회로를 하나의 엔코드회로로 구성하시오.그림이 너무 복잡해져서 못그렸다.0 : a,b,c,d,e,f1 : b,c2
    리포트 | 6페이지 | 1,000원 | 등록일 2009.04.30
  • [논리회로]인코더와 디코더
    우선, 우리조는 BCD-to-3 초과 코드 변환회로를 브레이드 보드판에 설계하였다. BCD 코드에 0011인 3의 10진수값을 더하므로서 3초과 코드로 변환하는 실험이었다. ... 그림 6.3은 BCD-to-3 초과 코드 변환회로이다. 출력전압을 측정하여 표 6.4에 기입하시오.{3. 그림 6.4 회로를 구성하고, 출력전압을 표 6.4에 기입하시오.{{4. ... 아직 이론시간에는 배우지 않았지만, 이 코드 변환회로의 회로 설계을 통해 3초과 코드를 이해할 수 있었다.
    리포트 | 6페이지 | 1,500원 | 등록일 2004.08.27
  • [졸업작품] 음성인식을 이용한 이륜자동차 구현 - 프로그램 소스, 회로도, 실사 등 상세자료 포함
    일치하면 반응하는데 SW-1000 모듈에서는 해당번지를 BCD 코드로 출력한다. ... 인식된 값을 유지한다.* 처음 전원을 넣거나 리셋 스위치를 누르면 모든 BCD 핀의 출력은 '0'의 값으로 초기화된다.* BCD 코드의 출력값들은 메인 보드의 적색 발광 LED( ... 일치하면 반응하는데 SW-1000 모듈에서는 해당번지를 BCD 코드로 출력한다. 이 출력을 중앙처리 장치로 전달한다.
    리포트 | 27페이지 | 15,000원 | 등록일 2007.12.20
  • 컴퓨터기술, 기술임용, ★컴퓨터, 컴퓨터분야 평가항목별 정리, 전문계고교과서, 전공서적컴퓨터 분야.
    코드변환기. BCD-3 초과 코드 변환가. 2진 코드-그레이 코드 변환기나. 그레이 코드-2진 코드 변환기Ⅴ. 순서 논리 회로0. 순서 논리 회로와 플립플롭.
    리포트 | 45페이지 | 9,000원 | 등록일 2011.02.26
  • 디지털 시계 설계 제안서
    디지털시계의 구성① 하드웨어 구성1) 10진 카운터 회로- 0~9까지 카운터 하는 회로로서 10개의 BCD 코드 값을 상태 값으로 가지는 카운터를 말한다.0~9까지 총 1ecoder를 ... 설계 흐름도① 하드웨어 동작 흐름도< 디지털시계 전체 블록도>디지털시계의 블록도를 보면 시간 표시를 위한 7-Segment 표시기를 비롯하여 시간 디코더 회로(BCD-to-7 Segment ... 10000111101XX11XX1XXXX1XX1BADC00 01 11 1000011110X11XX11XXXXXX11X ======= 1= 14) 12진 디코더 설계- 앞선 6진이나 10진 카운터의 경우 7447 IC(BCD
    리포트 | 25페이지 | 3,000원 | 등록일 2009.11.27
  • 디코더 인코더
    즉, 10 or 8진수등을 입력으로 받아 2진수 or BCD코드로 변환하는 회로 - 2 가지의 입력 중 하나만 1이 되고 나머지 입력은 모두 0이여야 한다.nn인코더(Encoder) ... 아날로그 데이터로 변환하는 회로 - 2진수를 8진수나 10진수 등으로 변환하는 회로 - N bit 2진 입력 코드를 2 개의 출력으로 변환하는 조합논리회로이다. ... 10진 디코더 - 입력 4개, 출력이 10개로 표현되는 회로이다.인코더(Encoder)개 요 - 부호기라고도 하며 아날로그 데이터를 디지털 데이터로 변환하는 회로 - 2 개의 입력코드
    리포트 | 16페이지 | 3,000원 | 등록일 2006.11.10
  • 세븐 세그먼트
    (6 downto 0));end bcd2seg;architecture bcd2seg_a of bcd2seg isfunction segment(temp : std_logic_vector ... bcd2seg isport (clk,rst : in std_logic;bcd_in : in std_logic_vector (3 downto 0);seg_out : out std_logic_vector ... VHDL code와 파형--bcd2seg.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity
    리포트 | 4페이지 | 1,000원 | 등록일 2004.12.09
  • [asic] vhdl을 이용한 counter 설계
    각각의 플립플롭은 클럭으로 동기화 되어있고 출력단에는 W, X, Y, Z가 있다.위의 couter는 4bit BCD up-counter를 나타낸 것이다.하지만 실제 실험은 BCD code가 ... -code 분석 : 먼저 up-counter의 코드부터 살펴보자.port (clk : in std_logic;c_out : out std_logic_vector(4 downto 0)
    리포트 | 6페이지 | 1,000원 | 등록일 2004.05.03
  • [예비레포트] 10진 카운터 제작
    .▣74LS47의 기능[그림 2-2] 74LS4774LS47는 BCD 코드를 입력받고 해독하여, 7-Segment 출력으로 표시하는 IC 이다.74LS90에서 출력된 각각의 신호는 ... 이와같은 동작을 하는것이 BCD to 7세그먼트 디코더 이다. ... [그림3-2] K형 7세그먼트, A형 7세그먼트실제로 BCD정보를 입력했을 때 이것이 7세그먼트에 10진수로 출력되기 위해서는 입력 2진수를 해석하여 적당한 LED에 빛을 발광할 수
    리포트 | 4페이지 | 1,000원 | 등록일 2009.03.08
  • 멀티플렉서, 디멀티플렉서, 디코더 및 인코더 구조
    NC는 사용하지 않는 핀이며 8421 BCD코드에서 A는 1, B는 2, C는 4, D는 8에 해당된다고 할 수 있다.(3)디코더(Decoder)- 인코더와 반대되는 개념으로써 부호화된 ... 력ABCDS1S2Y0XXX0001XXX001X0XX010X1XX011XX0X100XX1X101XXX0110XXX111110010010110000001001010010101100100001110100111110100110실험3.- TTL 소자 74147을 사용하여 BCD코드를 ... 2진 코드를 해독하여 대응하는 하나의 신호를 출력하는 조합 논리회로이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2008.04.15
  • 디코더와 멀티플렉서
    디코더(decoder)는 n 비트 입력의 코드체계를 최대 2n출력단자에 다른 코드체계로 변환하는 논리회로이다.▲ 2-비트 전체 디코더(1) 디코더의 입력 : 20과 21의 이진수(2 ... to 7 세그먼트 디코더/구동기 : TTL 7446 또는 7447- 4비트 BCD 입력에 대한 10 진수 (16진수) display- 출력이 low active ==> CA형 7 ... 만들면 PIN의 개수가 너무 많아지게 되므로 LED의 한쪽을 공통으로 연결 - CC(common cathode=음극공통), CAC(common Anode:양극공통) 로 구분한다.BCD
    리포트 | 15페이지 | 1,000원 | 등록일 2008.05.07
  • MCU의 역사 및 ATmega128의 구동 원리
    이는 BCD 연산에서 유용하다Bit 4 – SSign Bit : 플래그 N과 플래그 V의 exclusive OR로 결정되는 값을 저장한다. ... 2사이클에 동작하는 하드웨어 곱셈기 내장▶ 비휘발성 프로그램 메모리와 데이터 메모리- 28K Bytes 의 In-System Programmable Flash 메모리- 프로그램의 실행 코드
    리포트 | 5페이지 | 1,500원 | 등록일 2012.03.12 | 수정일 2016.03.10
  • 허프만 코드(Heffman code)과 이진 탐색 트리
    코드1은 어떠한 것도 다른 것의 접두어가 아니므로 접두어 성질을 만족한다. 따라서 001010011이 주어졌을 경우 bcd'룰 나타낸다. 코드2도 역시 접두어 성질을 만족한다. ... 따라서 1101001의 경우 처음 11의 경우 b로, 01의 경우 c로, 001의 경우 d로 해독하여 전체 열은 bcd 를 나타낸다. ... 코드 :가장 빈도가 높은 문자에 대한 코드를 짧게 주는가변형 코드 체계로서 코드의 효용성 높임허프만 코드는 정보의 손실이 없이 전체 데이터의 양을 줄여서정보처리의 효율성을 올리고자
    리포트 | 3페이지 | 1,000원 | 등록일 2004.04.05
  • 7segment
    BCD카운터BCD 카운터는 10개의 BCD 코드값(0000, 0001, 0010, ... , 1000, 1001)을 상태값으로 가지는 카운터를 말하며, 십진 카운터(decade counter ... BCD-7세 그먼트 디코더(BCD to 7segment decoder)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다. ... 십진수 3에 해당하는 BCD값 0011은 표현을 위하여 a, b, c, d, g의 선분이 필요하다.
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • 정보사회와 컴퓨터
    : 7비트로 표현 데이터 전송을 효율적으로 하기위해 표준화함 BCD코드 : 한문자를 표현하는데 6비트 사용, 64개의 문자 표현 EBCDIC코드 : 한문자를 표현하는데 8비트 사용 ... , 256개의 문자 표현 한글문자 데이터의 표현 2바이트(16비트로 표현) 조합형과 완성형 유니코드 : 세계 공통으로 사용하는 표준코드데이터의 표현 방법 알아보기0과 1의 세계수치 ... 변경하여 표현0과 1의 세계8진수 - 2진수(6258)8 = 8진수 6 2 5 3왼쪽1101010100112진수(110010101011)20과 1의 세계영문자 데이터의 표현방법 아스키 코드
    리포트 | 17페이지 | 2,000원 | 등록일 2008.10.25
  • [디지탈 논리회로]디지탈 논리회로
    디지털 논리회로부호의 코드BCD 코드(Binary Coded Decimal) 3초과 코드(Excess-3 Code) 그레이 코드(Gray Code)BCD 코드(Binary Coded ... 2진 숫자로 구성한 것 BCD 코드에서는 0∼9까지의 10개만을 사용하고 나머지 6개(1010, 1011, 1100, 1101, 1110, 1111)는 사용하지 않는다BCD 코드BCD ... Decimal)10진수에 대한 2진 코드는 적어도 4개 이상의 비트를 필요로 한다. 8421코드 : 2진화 10진 코드(BCD)의 형식 10진 숫자 0에서 9까지의 표현을 4비트의
    리포트 | 52페이지 | 1,000원 | 등록일 2004.03.29
  • 컴퓨터활용능력 필기 하드웨어 파트 요약정리.
    파일(File) : 레코드의 모임⑵ 데이터의 표현BCD(이진화 10진 코드)6비트로 구성. 26=64 가지 문자 표현ASCII(미국 표준 정보) 코드7비트로 구성. 27=128 가지의 ... 굵기가 서로 다른 선을 조합시켜 코드화해서 특정 물품들을 구분하기 위해 사용함? ... 문자 표현EBCDIC(확장 이진화 10진) 코드8비트로 구성, 28=256가지의 문자 표현테이터의 구성단위를 순서대로 알고 있어야 겠고, 특히 워드와 비트의 개념을 잘 구분해 놓도록
    시험자료 | 4페이지 | 1,500원 | 등록일 2008.11.27
  • 유니스터디 이벤트
AI 챗봇
2024년 10월 02일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감