• 통큰쿠폰이벤트-통합
  • 통합검색(1,034)
  • 리포트(929)
  • 자기소개서(59)
  • 시험자료(30)
  • 논문(13)
  • 이력서(2)
  • 방송통신대(1)

"스텝모터" 검색결과 41-60 / 1,034건

  • vhdl stepmotor스텝모터 제자리회전 결과레포트
    전자공학 실험 3 TEAM PROJECT 7CONTENTS 01 프로젝트 내용 02 예상 완성 동작 03 제한 조건 및 실험 환경01 프로젝트 내용 리모컨 신호 (3 비트 6 가지 ) 에 따른 로봇 움직임 생성 ( 전진 , 후진 , 좌회전 , 우회전 , 우측으로 제자리..
    리포트 | 17페이지 | 2,000원 | 등록일 2019.08.24
  • 스테핑 모터(stepping_motor)
    , 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다. ... 것이 가능하므로 micom에서 사용하기에 적합한 motor이다. stepping motor가 DC motor나 AC motor와 크게 다른 점은 shaft의 위치를 검출하기 위한 ... 일반적으로 기계적인 이동량을 정밀하게 제어하는 일에 DC servo motorstepping motor가 많이 사용되고 있으며, 특히 pulse에 의해 digital적으로 제어하는
    리포트 | 14페이지 | 2,500원 | 등록일 2011.06.22
  • step motor
    결론스텝모터는 입력 펄스수에 대응하여 일정한 각도만큼 움직이는 모터로 펄스모터라고도 불린다. ... ●Step Motor 와 ATmega128스테핑 모터는 디지탈 회로로 제어하기 쉬운 모터이다. ... 고찰2학년때 전자전기실험에서 모터제어 실습을 한 적이 있었다. 그때 DC모터, 스텝모터, RC서보모터 등을 실험했었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.15
  • 스텝모터 돌리기 예비보고서
    [스텝모터 돌리기][실험 이론]① 스텝모터(Step Motor)- 스테핑 모터(스텝모터, 펄스 모터) : 스텝(step) 상태의 펄스(pulse)에 순서를 부여함으로써 주어진 펄스 ... 전류를 흘려줌.- 마이크로 컨트롤러- 방향 신호와 펄스열을 발생② 스텝모터(Step Motor) 구동 방법- 1상 여자방식 (Full step)- 구동방법- 스텝모터를 구동하기 ... //채터링 방지unsigned char flag_cnt=0;1) 스텝모터 포트 선언- 매크로 상수 S_MOTOR_DDR, S_MOTOR_DATA_OU : 스텝모터와 관련된 입출력 포트
    리포트 | 6페이지 | 1,500원 | 등록일 2015.11.23
  • 자동제어실험 결과 스텝모터 RC서보모터
    스텝 모터가 작동하는 최대주파수를 알아보려면 스텝 모터에 대해 알아야 하는데, 일반적으로 스텝 모터의 1회전 펄스 수는 160 ~ 240개 이며 한 스텝 당 회전각은 1.5° ~ 2.5 ... 스텝모터, RC서보모터1. 실험 목적- 스텝모터의 원리이해 및 제어- RC 서보모터의 원리이해 및 제어2. ... 추가사항실험1은 스텝모터의 성질을 이해해보는 실험이었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2017.11.29
  • PWM을 이용한 스텝모터 제어
    PWM을 이용한 스텝모터 제어이름 : 경대현 학번 : 201114011. ... ‘여기는 스텝모터의 Enable, Direction, 그리고 PWM 펄스가 나가는 핀들이다.’#define ENABLE 40 // ENABLE을 포트 40에 정의한다. ... 속도간의 관계PWM 주파수가 증가하면 모터속도도 증가하므로 이 둘은 PWM 주파수를 x축으로 모터속도를 y축으로 두었을 때 기울기 약 0.05 수준으로 비례관계에 있다.3.실험 샘플코드에
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.04
  • 스텝 모터 구동을 위한 제어기와 제어기술
    學士學位 論文스텝모터구동을 위한 제어기와제어 기술Controller scheme and technique for driving stepper motor이 論文을 學士學位 論文으로 提出 ... 론 92.1 스텝모터 92.1.1 스텝모터의 기본구조 92.1.2 스텝모터의 종류 102.1.3 스텝모터의 구동방식 112.1.4 스텝모터의 여자방식 122.1.5 스텝모터의 동작 ... EEPROM)의 프로그래밍과 On-chip 디 버그 기능을 위한 JTAG 인터페이스(IEEE std. 1149.1)·53개의 I/O 핀(A, B, C, D, E, F 포트 - 8 비트
    논문 | 34페이지 | 3,000원 | 등록일 2011.12.14
  • 스텝모터 제어기
    0); signal speed_l : integer range 0 to 25000; signal speed_r : integer range 0 to 25000; signal motor_lcnt ... : integer range 0 to 25000; signal phase_lclk : std_logic; signal motor_rcnt : integer range 0 to 25000 ... MOT2_ROT library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all
    리포트 | 6페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • [마이크로프로세서실험] 스텝모터 step motor 예비보고서
    한 개의 입력 펄스(input electrical pulse)에 따라 주어진 각도(step size)로 회전하는 모터이다. step size는 0.4°, 0.7°, 1.8°, 2°, ... 구동방식으로 일반적으로 많이 사용되는 방식으로, 출력 토크가 좋다는 장점이 있다. 1-2상여자방식(Half-step or 1-2-Phases-On)은 1상-2상-1상-2상 과 같이 ... 간략하게 먼저 2상 여자 방식과 1-2상 여자방식, 4상 여자 방식을 설명하자면 2상여자방식(Full-step or 2-Phases-On)은항시 4상중 2개상이 함께 입력전원을 받아들인
    리포트 | 14페이지 | 2,000원 | 등록일 2012.05.30
  • 스텝 모터 구동기
    목적단극 스텝 모터(Uni-polar step motor)의 동작 원리와 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register)의 사용 방법을 ... 스텝 모터 구동기학과전자전기공학부학번조/이름담당교수수업시간실험일1. ... 만약 1회전 100펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇 도 회전하는지 계산하시오.→ 1회전(360°)하는데 100펄스가 있어야 하므로 1개의
    리포트 | 2페이지 | 1,000원 | 등록일 2010.10.08
  • PWM스텝모터
    스텝 당 보통 1.8' 씩 회전한다. 스테핑 모터는 AC servo, DC servo 모터에 비하여 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에서 쉽게 접할 수 있다. ... 모터의 적용 예1) stepping 모터의 특징장점- 고분해능- 고정밀도- 각도 및 속도저어의 용이성- 자기유지력(Holding Torque)- OPEN LOOP SYSTEM 구성단점 ... 비해 효율이 떨어진다.2) stepping 모터의 종류VR형 (Variable Reluctance Type)- 연철 또는 성층강판으로 구성된 회전자와 톱니바퀴형의 회전자와 고정자 권선에서
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.20
  • 스텝모터제어
    스텝 모터 제어Stepping Motors Control논문의 부제목을 입력하세요(필요시)목 차國文抄錄ⅴ제 1 장 서 론 11.1 스텝모터의 특징 11.2 스텝모터의 장단점 1-2제 ... 상승함에따라 torque가저하하며 DC motor에 비해 효율이 떨어진다.제 2장 본론2.1 스텝모터모터는 위치나 속도제어 시스템에서의 최종 제어요소로서 가장 많이 사용되는구동장치이다 ... 전류 regulator의 기준 전류 지령을 변경할 수 있도록 디지털 여자정Hz 1분간내진동10~55Hz(주기 1분간) 복진폭 1.5 mm X,Y,Z 각 방향 2시간내충격300 m/s²
    리포트 | 26페이지 | 2,500원 | 등록일 2008.12.15
  • 스텝 모터 구동기
    목적 : 단극 스텝 모터 (Uni-polar step motor)의 동작 원리와 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register)의 사용 ... 설계실습 4 : 스텝 모터 구동기1. ... 만약 1회전 100펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇 도 회전하는지 계산하시오.⇒ 1회전 = 360도360 : 100 = x : 1, x
    리포트 | 9페이지 | 1,000원 | 등록일 2010.03.12
  • PWM을 이용한 스텝 모터 제어 보고서
    들어오게 되므로 카운터가 하나 증가하는 동안 수십 개의 모터 펄스가 들어온다면 속도를 정확히 알 수 없다. ... (일정시간동안 많은 펄스가 들어오므로)(2) T 방법(T method)이 방법은 모터에서 들어오는 펄스 사이의 간격을 측정하는 것이다.즉? ... 주파수 응답 특성입력 width 값 [-]측정된 PWM 주파수 [Hz]측정된 모터속도 [rpm]*************460.4704000576803000769.2120200011521802
    리포트 | 3페이지 | 1,500원 | 등록일 2013.06.23
  • 스텝모터제어 예비 보고서
    이는 비트 4가 Step motor를 제어하도록 설정해 주는 것 입니다. ... 전압인가에 따라 폐회로가 형성되면 전류의 흐름이 발생하고 이에 의해 스테이터 철심 A와 철심 /A의 끝 부분에 각각 S극과 N극이 형성 됩니다. ... 험성명공동실험자학번제출일자성명스텝모터제어(스텝모터의 구동)□ 스텝 모터의 특성과 종류 및 구동원리에 대해 설명하시오.- 회전 각도는 입력펄스의 신호수에 비례하여 정해진다.- 회전속도는
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 스텝모터제어 결과 보고서
    이는 비트 4가 Step motor를 제어하도록 설정해 주는 것 입니다. ... 험성명공동실험자학번제출일자성명스텝모터제어(스텝모터의 구동)□ 스텝 모터의 구동에서 각 상들이 동작하기 위해 왜 그렇게 설정을 해주었는지 설명하시오.SRRW1 &= ~0x10;void ... 이 딜레이를 줄여주면 스텝모터의 가동 속도가 빨라집니다.
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 스텝모터(Step Motor) 응용사례 및 특성 조사
    스테핑(stepping) 모터는 다른 명칭으로 스텝 모터(step motor), 펄스 모터(pulse motor), 스테퍼 모터(stepper motor) 등으로 불리 운다. ... 개 요 스텝 모터는 1920년 영국에서 개발된 고정밀도의 위치결정이 가능한 Motor로써 스테핑이란 명칭이 사용 것은 1923년 스코틀랜드의 프렌치가 발명한 VR 형 스테핑 ... 모터가 최초 모델이라 한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2012.05.30
  • PWM을 이용한 스텝모터제어
    것이 가능하므로 micom에서 사용하기에 적합한 motor이다. stepping motor가 DC motor나 AC motor와 크게 다른 점은 shaft의 위치를 검출하기 위한 ... 일반적으로 기계적인 이동량을 정밀하게 제어하는 일에 DC servo motorstepping motor가 많이 사용되고 있으며, 특히 pulse에 의해 digital적으로 제어하는 ... 모터의 회전각이 입력 pulse수에 비례하고, 모터의 속도가 1초간의 입력 pulse수에 비례한다. 1 step당 각도오차가 5% 이내이며 회전각의 오차가 step마다 누적되지 않는다
    리포트 | 5페이지 | 1,000원 | 등록일 2010.09.24
  • PWM을 이용한 스텝모터제어
    AC servo, DC servo 모터에 비하여 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에서 쉽게 접할 수 있다. ... PWM를 이용한 스텝모터제어1. 실험 목적스테핑 모터Step에 의해 구동되는 모터이다. ... 스테핑 모터는 pulse에 의해 digital적으로 제어하는 것이 가능하므로 마이크로 컨트롤러에서 사용하기에 적합한 모터이다.본 실험에서는 PWM을 이용해서 스텝모터를 구동해 보고,
    리포트 | 5페이지 | 1,000원 | 등록일 2010.10.16
  • PWM을 이용한 스텝모터제어
    보통 한 스텝 당 1.8°씩 회전한다. 스테핑 모터는 AC servo, DC servo 모터에 비해 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에서 쉽게 접할 수 있다. ... 이때, 그림의수치는 전체 PWM 주기와 펄스폭의 비율을 퍼센트로 표시한 것이다.그림3 PWM의 변조방식(2)스테핑 모터(Stepping motor)스테핑 모터Step에 의해 구동되는 ... 모터를 말한다. 4개의 모터상이 있어서 한 스텝, 한 스텝 진행하면서 모터가 회전한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2010.07.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:33 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대