• 통큰쿠폰이벤트-통합
  • 통합검색(9,282)
  • 리포트(8,319)
  • 자기소개서(460)
  • 시험자료(298)
  • 방송통신대(177)
  • 논문(18)
  • 서식(6)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)

"논리회로" 검색결과 321-340 / 9,282건

  • 홍익대_디지털논리회로실험_3주차 예비보고서_A+
    디지털 논리실험 및 설계 3주차 예비보고서실험 준비1.1 기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오.기본 실험 (1)의 회로는 입력 A,B를 받아 Y0, Y1, ... 다음과 같다. n개의 입력으로 들어오는 데이터를 받아 그것을 숫자로 보고 2의 n제곱 개의 출력 회선 중 그 숫자에 해당되는 번호에만 1을 내보내고 나머지는 모두 0을 내보내는 논리 ... 회로.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_9주차 예비보고서_A+
    디지털 논리실험 및 설계 9주차 예비보고서실험 준비1.1 8-bit Serial-in Parallel-out Shift Register 74164의 datasheet를 확인하고 의 ... Q1, Q2는 각각 Q2, Q3에 출력된다.2.2 기본실험 (2)기본실험(1)에서 만든 회로의 원리대로 8개의 D Flip-flop을 이어 붙인 것이 74164 칩이다. ... Q3라고 할 때 초기 값이 0100이라면 CLK가 0에서 1로 올라갈 때 1010으로 출력이 바뀐다.1.3 응용실험 (2)에서 초기화 하는 과정을 자세히 서술하시오.응용실험 (2)의 회로
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_7주차 예비보고서_A+
    디지털 논리실험 및 설계 7주차 예비보고서실험 준비1.1 S-R Latch와 - Latch의 동작에 대해 설명하시오.Latch란 1비트의 정보를 저장할 수 있는 회로이다. ... 한번 눌렀다고 생각할 수 있지만 채터링이 발생하면 입력이 여러 번 된 것으로 간주되어 결과가 나타난다.실험 결과2.1 기본실험 (1)모두 0일 때 둘 다 1이 출력되지만 이것은 위 회로에선
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 서강대학교 디지털논리회로실험 5주차 결과보고서
    Subtractor(감산회로)는 어떤 수의 2’s complement를 더함으로 구현하거나 subtractor의 구현을 통해 수행할 수 있다.ALUs(연산회로)는 여러 연산 및 논리 ... 배경이론 및 실험방법비교회로(Comparator)는 두 binary 수의 비교를 통해 판단하는 회로이다.Adder(가산회로)는 두 개의 1-bit를 더해 2-bit의 합을 출력한다. ... Full-adder회로는 다음과 같다.
    리포트 | 13페이지 | 1,000원 | 등록일 2021.10.02
  • 홍익대_디지털논리회로실험_6주차 예비보고서_A+
    디지털 논리실험 및 설계 6주차 예비보고서실험 준비1.1 ALU 74181의 datasheet을 읽고 네 자리 이진수의 덧셈을 74181을 이용하여 어떻게 구현할 수 있는지 설명하시오.ALU
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 서강대학교 디지털논리회로실험 3주차 - Decoders and Encoders
    이 간단 하지만 큰 실수를 통해 회로 실험에서는 하나하나 꼼꼼히 실험 환경을 준비해야 한다는 것 을 알 수 있었다.
    리포트 | 16페이지 | 1,500원 | 등록일 2024.08.17
  • 서강대학교 디지털논리회로실험 3주차 결과보고서
    Decoder는 하나의 code체계를 다른 code 체계로 변환하는 논리회로이다. ... 그 후 Combinational 회로 설계 단계에서 Karnaugh map을 통해 출력 F를 구한다. ... 배경이론 및 실험방법회로를 수식으로 나타낼 때 직접적으로 최소화하는 것은 비효율적이다. 더 효율적인 방법의 예는 Karnaugh map이 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.02
  • 서강대학교 디지털논리회로실험 10주차 결과보고서
    다음은 4-bit ADC의 회로를 나타낸다. ... R-2R ladder circuit를 이용해 DAC를 나타낼 수 있는데, 회로는 다음과 같다.이 회로는 R과 2R의 크기를 갖는 저항들을 사다리형태로 배열하고 입력되는 4-bit 디지털 ... 실험목적1) Digital-to-analog 변환(DAC)- Digital 신호를 analog 신호로 반환하는 회로의 동작 원리를 이해한다.- DAC IC(DAC0808)의 구동 방법을
    리포트 | 12페이지 | 1,000원 | 등록일 2021.10.02
  • 디지털 논리회로 9장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 9페이지 | 3,000원 | 등록일 2021.06.04
  • 아날로그 및 디지털 회로 설계 실습 결과보고서7 논리함수와 게이트
    아날로그 및 디지털 회로 설계 실습-실습 7 논리함수와 게이트-설계실습 내용 및 분석설계한 논리게이트 구현 및 동작Low(0) 값, High(1) 값, Vcc를 각각 0V, 5V, ... 1)에서 0V(논리값 0)로 변화시켜서 논리함수의 입출력이 맞게 동작하는 최소 Vcc전압을 구한다. 74LS00 칩의 다른 NAND 게이트에 대해서도 같은 결과를 얻을 수 있었는가를 ... time 간격이 40ns라서 그 이하의 값을 확인할 수 없기 때문에 발생한 문제라고 생각한다.74LS00 칩의 NAND 게이트 하나를 선택하고 직류전원장치를 Vcc를 단계적으로 5V(논리
    리포트 | 24페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • [논리회로실험] Latch & Flip-Flop - 결과보고서
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명 ... 회로를 구성해보고 truth table을 작성하여 CLK에 따른 F/F의 동작을 알아보았다. ... 실험 과정 및 결과1) 실험 1 : R-S Latch with Enable (생략)- 74HC00 1개로 R-S Latch 회로를 구현한다.- Enable(C)에 1의 입력을 넣고
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.04
  • 디지털 논리회로 7장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 24페이지 | 3,000원 | 등록일 2021.04.28
  • 디지털 논리회로 실험 7주차 JK-FlipFlop 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : JK FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 유효하지 않는 것을 알 수 있었다. 4.1.2 실험에서는 Gated S-R Latch 회로를 구성해보았는데 이 회로는 S-R Latch에서 EN이라는 입력을 추가한 것이다. ... . 4.1.1 실험에서는 NAND 게이트를 활용한bar {S }- bar{R} Latch 회로를 구성해보았다.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 8주차 D-FlipFlop 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : D-FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 이 회로는 클럭이 0에서 1로 바뀌는 상승에지에서만 출력 값들이 변하는 회로임으로 다른 상태일 때는 값이 변하지 않고 상승에지인 순간에 D의 값에 따라 Q의 값이 D와 똑같은 값으로 ... 실험에서는 순서회로인 Latch와 Flip-Flop 중 Gated D Latch와 D FLIP-FLOP, T FLIP-FLOP에 대해 알아보고 이를 응용한 회로를 구현해보았다. 4.1.1
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 7주차 JK-FlipFlop 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : JK FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 실험 이론디지털 시스템에서 클럭 (clock) 신호에 의해 각종 논리신호가 동작되는데, 플립플롭 역시 이 신호에 동기 되어 동작한다. ... 그림 1의 회로도를 진리표로 정리하면 표 1과 같습니다. 여기서 S=1, R=0 또는?S=0, R=1?
    리포트 | 10페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 6장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 18페이지 | 3,000원 | 등록일 2021.03.29
  • 디지털 논리회로 5장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 17페이지 | 3,000원 | 등록일 2021.03.27
  • 논리회로실험 A+결과보고서 5 Decoder & Encoder
    1. 실험 과정 및 결과 실험 1) 2X4 Decoder이번 실험은 4개의 AND gate와 2개의 NOT gate를 1개의 74HC04(NOT gate)칩과 1개의 74HC08칩(2-input AND gate)을 이용하여 2x4 Decoder를 구성하고 입력에 따른 ..
    리포트 | 6페이지 | 1,000원 | 등록일 2020.10.09
  • 디지털 논리회로 1장 연습문제 풀이 (생능출판, 김종현)
    즉 A AND B = 1 일 때만 램프가 on이 되므로 문제에서 물어본 유형의 스위치 회로는 AND-스위치 회로이다.답: AND-스위치 회로1.11과정 및 답: 문제에서 한 개의 스위치만 ... 회로1.10과정: 문제에서 여러 개의 스위치들을 가진 회로에서 한 개 이외의 모든 스위치들을 닫았으나, 램프는 켜지지 않았다고 했다. ... 스위치가 열린 상태(A=0)로 있으면, 모든 전류가 램프로 흐르면서 램프가 켜지는 경우이므로 문제에서 물어본 유형의 스위치 회로는 NOT-스위치 회로이다.
    리포트 | 5페이지 | 2,500원 | 등록일 2021.01.18
  • 논리회로실험 A+예비보고서 5 Decoder & Encoder
    실험 이론1) 디코더(Decoder)-신호를 디지털 부호로 코드화해서 기억하거나 전송할 때, 코드화된 신호를 원래 형태로 되돌리는 회로이다. ... -데이터 입력원(Input source)을 선택하는 응용에 자주 사용되며, 아날로그 먹스와 디지털 먹스가 있다.2) 인코더(Encoder)-디지털 전자회로에서 어떤 부호계열의 신호를
    리포트 | 9페이지 | 1,000원 | 등록일 2020.10.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 11일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:04 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대