• 통큰쿠폰이벤트-통합
  • 통합검색(534)
  • 리포트(483)
  • 논문(22)
  • 시험자료(21)
  • 방송통신대(4)
  • 서식(3)
  • 자기소개서(1)

"input-output analysis" 검색결과 261-280 / 534건

  • [사회복지행정론] 인사관리와 슈퍼비전 - 인사관리의 의의와 기능, 인사관리의 과정, 인력의 평가와 개선업무평가, 슈퍼비전, 인사관리에 대한 최근 동향
    한 서비스에 동원된 투입물(input)에 비해서 얼마만한 산출물(output)이 나왔는가? ... 클라이언트의 복지 증진에 이바지하게 된다.(1) 직위분류 : 모든 직무들을 직위에 따라 구분하는 틀을 갖추는 것.- 직무분석(job analysis) : 직무에 수반되는 과업들을 ... 분석- 분류화(classification) : 직위를 유사한 것끼리 묶어 계급을 만든다.- 직무기술(job description) : 각 계급에 대한 업무수행 기준을 만든다- 범주화
    리포트 | 7페이지 | 1,500원 | 등록일 2013.12.27
  • [생물정보학]Endo-1,4-beta-xylanase A(SWISS PROT-Q12667)
    않아P08246과 비교하였다..PAGE:28Lalign Output이번에는 Global을 이용하여두 개의 서열을 비교한다..PAGE:29Lalign Output..PAGE:30Going ... Input alginment -> from textbox이전 페이지의 alginment 서열 입력..PAGE:71Starting Jalview..PAGE:72Starting JalviewColour부분에서 ... q12667사이트를 입력하여 원하는서열의 FASTA형식을 얻는다...PAGE:7Entering your sequencein Dotlet그리고 원래 Dotlet 사이트에서 input
    리포트 | 91페이지 | 1,000원 | 등록일 2011.03.12
  • 도시경제
    이러한 총량적 경제성향 분석은 inputOutput 에 의한 I/O 분석, 그리고 투자타당성 분석(B/C Analysis)등 으로 한다.※ 투자타당성 분석(B/C Analysis ... -도시와 타도시 or 국가 전체와의 비교 분석①경제기반모형(Economic base Analysis)경제기반모형(economic base model)은 한 도시나 지역의 경제구조 및 ... Macro-Economics(총량, 거시경제학)매크로 분석이라고도 하며 미시적(Micro) 분석과 상대적인 개념.
    리포트 | 8페이지 | 2,000원 | 등록일 2013.03.10
  • 영어교육론 개념 정리(1차 2차 대비)
    Language Analysis1. ... input generators∙ Authenticity∙ Take-based instruction4. ... Input Hypothesis: Comprehensible input, Silent period5.
    시험자료 | 25페이지 | 2,000원 | 등록일 2012.02.01
  • 오퍼레이션 매니지먼트_자식교육
    자녀교육 Process를 통한 Output 산출 : 자녀의 문제 해결77. 장기적 관점에서의 해결방안 : 행동에 대한 Feed-back과 Feed-Forward78. ... 이것은 Input Resources에 집중하는 경향이 있기 때문에 Output이 떨어질 수도 있다. ... 자녀가 처한 환경 분석 : Environment Analysis2. 자녀교육의 Input Resource : 동기부여(Motivation)3.
    리포트 | 10페이지 | 1,500원 | 등록일 2010.06.18
  • 산업기술혁신과 세계경제질서, 시장구조, 산업기술혁신과 클러스터, 산업혁명, 산업기술혁신과 유전체연구, 항공기, 산업기술혁신과 문화산업, 과학기술, 산업기술혁신과 방사선기술 분석
    클러스터 추출을 위한 실증적 방법1) 투입-산출 분석(input-output analysis)2) 그래프 분석3) 상응분석(correspondence analysis)4) 사례연구( ... )― 예로서 factor analysis, principal component analysis, multi-dimentional scaling and canonical correlation ... 다품종 시장구조로의 전환*「mass-production」 → 「diversified mass-production」ㅇ 대표적 독점?규제 영역이었던 통신?금융?
    리포트 | 14페이지 | 6,500원 | 등록일 2013.04.12
  • 전자회로 Op amp 실험 보고서
    : Sketch the input/output signal and verify the output signal.Above picture is what I drawn ative sign ... : Sketch the input/output signal and verify the output signal.Above picture is what I drawn by Pspice.Above ... The value is as follows; vout(peak)=-Vm t1/R1 C, Where Vm is the peak value of the square wave.Analysis
    리포트 | 12페이지 | 1,500원 | 등록일 2008.12.15
  • Singular value decomposition 과 sparse matrix
    그렇게 되면과는 Output이 된다. ... -Singular value decomposition (SVD)* 이론orthogonal basis과를 Input으로 보았을 때, 어떠한 matrix A와 연산할 경우, 그것의 크기와 ... 그러므로의 sqrt(eigen value)는 SVC의 singular value가 되고, SVC의 input이 되는 orthogonal vector는의 eigen vector가 된다
    리포트 | 11페이지 | 1,500원 | 등록일 2011.12.13
  • 조윤경 교수님, 영어교육론 내용정리 레포트
    영어교육론The relationships between contrastive analysis (CA), error analysis (EA), and interlanguage analysis ... , Long’s interaction hypothesis, and Swain’s output hypothesis, and discuss the pedagogical implications ... 오류가 학습자의 언어 체계를 드러내는 것은 사실이지만, 교수-학습의 場에서 교사가 학습자의 오류에 너무 몰두하다 보면, 학습자가 정확하게 발화했을 때 그것을 강화해주지 못할 수도 있다
    리포트 | 5페이지 | 1,500원 | 등록일 2011.06.17
  • 성인간호학실습 A+ [Pneumonia Case study]
    Input:1600-1800cc, Output:1600-2000cc⑨ 식사준비는 누가 하는가? 병원급식(3) 배설양상① 배뇨: 횟수는? 양과 색깔은? 어려움은? ... saturation)95-100 %03.2096.2혈중 산소포화도(3) ABGA(Arterial Blood Gas Analysis)* 종합적 의의 : 대상자는 폐렴으로 인해 호흡곤란을 ... )M;14-18g/dLF;12-16g/dL03.19▼11.1Hct(Hematocrit)M;42-52%F;37-45%03.19▼30.7↑:탈수로 인한 혈액 농축을 의미↓:빈혈MCV(Mean
    리포트 | 13페이지 | 2,000원 | 등록일 2015.06.04
  • Op amp 에 대한 실험 보고서
    Analysis: Show the input/output signal and calculate the close-loop gain.Above circuit is what I drawn ... A on channel 1 and node B on the channel 2.Analysis: Show the input/output signal and calculate the ... : Show the input/output signal and calculate the close-loop gain, phase-difference.Above circuit is what
    리포트 | 12페이지 | 1,500원 | 등록일 2008.12.15
  • [A+] 화재시나리오 - 신세계백화점 / potassium cyanide / 화재 시나리오 작성 / 모델링(modeling) / Trash Fire / 화재 시나리오 적용기준 / 시간변화에 따른 연기확산
    output Input Input Input 시물레이션은 그림과 같이 화재 시나리오 작성 , 모델링 , 실행 , 결과 분석 의 과정으로 이루어진다 . ... 피난관 연계한 검토 필요 ( 피난 시나리오 작성 ) 최악 상황을 고려한 검토가 필요 . 1. 2 . 3 . 4 .F D S Fire Model Geometry Materials Analysis ... 재질 : 창문 - 유리 , 문 - 목재 , 바닥 - 콘크리트 , 천장 - 타일 , 벽 - 석고보드 화원 2. 1.
    리포트 | 23페이지 | 1,500원 | 등록일 2013.02.28
  • 생산 및 운영관리 시험대비
    total measure : output to all input·Capacity(생산능력): 무엇을 할 수 있는 능력, 수용능력, 최대조업도. ... ·Input → Transformation → Output인력 제품기계 서비스토지자본it공장·zara의 경우 fast fashion을 위해 유연한 구조를 갖추어야 하고, 많은 디자이너가 ... 목표달성정도·Productivity(생산성) : Output/Input(투입 대비 산출) 자원을 얼마나 잘 활용하는 지 나 타내주는 지표·Value : Quality/Price(가격
    시험자료 | 6페이지 | 1,500원 | 등록일 2011.10.29
  • AHP분석(정책분석과 평가)
    정책문제의 본분석 - 입출력분석기법을 활용(1) 입출력분석기법(input/output analysys)이란??? ... 인지 기법(appreciation analysis)은 문제와 관련한 간단한 사실로부터 출발해서 이에관련된 질문을 해 나가면서 문제를 이해하는 기법이다. ... 입력/출력 기법은 달성하고자 하는 목표 상태인 출력 요소(input)와 사양(specification)을 설정하고, 초기 단계의 입력 요소들이 어떻게 변환되어서 목표 상태까지 도달
    리포트 | 9페이지 | 1,500원 | 등록일 2013.06.10
  • [Smart SPICE] 전자회로 슈미트트리거, 적분기 프로젝트입니다.
    from EVAL library in PSpice)* connections: non-inverting input* | inverting input* | | positive power ... 0 6 1 2 8 uA741Vcc 1 0 PWL(0 0, 1m 15)Vee 2 0 PWL(0 0, 1m -15)* Analysis.op.tran 0.1m 10m 0.print V( ... supply* | | | negative power supply* | | | | output* | | | | |.subckt uA741 1 2 3 4 5*c1 11 12 8.661E
    리포트 | 4페이지 | 1,000원 | 등록일 2010.12.25
  • MOSFET에 대한 실험 보고서
    The input voltage showed -999.2mV and the output voltage showed -976.88mV. ... The input voltage showed -494.58mV and the output voltage showed 2.21V. ... , the output is almost same with the input signal.
    리포트 | 4페이지 | 1,500원 | 등록일 2008.12.15
  • 센겔 앤 볼스 열역학 5th 솔루션4-2
    °C.Analysis We take a single ball as the system. ... 4-74 A number of brass balls are to be quenched in a water bath at a specified rate. ... must be equal to energy output for a system whose energy level remains constant.
    시험자료 | 15페이지 | 1,500원 | 등록일 2010.12.05
  • 예비레포트 - OP-AMP
    Equivalent CircuitOp-Amp의 (-)node에서 analysis를 시작한다. ... Power12.250mW=16ΩVoltage Across Speaker Pins2.5=600ΩMIC Input Voltage20mVPeak-to-PeakANA IN Input Voltage50mVPeak-to-PeakAUX ... Gain2-155dBAGC=2.5VAUX IN/SP+Gain0.981.0V/VANA IN to SP +/- Gain212326dBAGC Output Resistance2.559.5kΩSampling
    리포트 | 5페이지 | 1,000원 | 등록일 2009.08.19
  • 라인트레이서
    Analysis for Source code#pragma model(kc)#include void delay(int del){while(del--);}void check(void){ ... We can control this component by inputs.No.NameFunction1SYNCOutput of the on-chip chopper oscillator.The ... Therefore the output signal should be 0x03(0011).
    리포트 | 18페이지 | 2,000원 | 등록일 2010.10.09
  • 통신실험 예비보고서 Unit2 ex1. Introduction to Spectral Analysis
    B의 OUTPUT LEVEL을 이용하여 RMS Voltmeter에 0.7V가 되도록 한다.⑨ 아래의 값으로 Spectrum Analyzer을 조절한다.INPUT1 MΩMAXIMUM ... Exercise 1Introduction to Spectral Analysis1) 실험 목적- Spectrum Analyzer(스펙트럼 분석기)의 사용법을 정확히 익힌다.- Sinusoidal ... ) 실험 용어▷ Spectral Analysis- 물리나 화학 분야에서 눈으로 확인할 수 있는 스펙트럼을 이용하여 화학적 특성을 분석하는 방법이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.09.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:15 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대