• 통큰쿠폰이벤트-통합
  • 통합검색(544)
  • 리포트(490)
  • 논문(24)
  • 시험자료(22)
  • 방송통신대(4)
  • 서식(3)
  • 자기소개서(1)

"input-output analysis" 검색결과 161-180 / 544건

  • 서강대학교 전자회로실험 3주차 결과보고서 - PSpice 사용법 및 시뮬레이션 실습
    waveform의 rise time의 50% 지점에서 output waveform의 fall time의 50%까지 걸리는 시간이다. tPLH는 input waveform의 fall ... responseOp-amp를 이용해 구성한 회로에 Op-amp 입력 전압의 주파수를 1.0Mhz에서 10GHz까지 Sweep하며 Op-amp의 output voltage를 관찰해 본다 ... 10V에서 10V까지 sweep하면서 inverting Op-Amp의 output voltage를 측정한다.2) DC Parametric sweepDC sweep을 이용하여 NMOS
    리포트 | 21페이지 | 1,000원 | 등록일 2014.11.30
  • 성대 진동실험 (A+) - Op-amp and Strain gauge
    To easily say, op-amp is a device that can amplify the input voltage and makes bigger output voltage. ... A strain gauge is a gauge that tells us how much the cantilever is strained by electrical analysis. ... 'Output' is connected with the blue wire, which means V _{"out"} In the right circuit.
    리포트 | 16페이지 | 3,000원 | 등록일 2017.01.06
  • 함수발생기 설계 결과보고서
    amplifier The polarity of the input signal is inverted - The output voltage is applied to the inverting ... signals are output to the output signal The combined input signal is inverted TheoryInverting amplifier ... source - Differential Ampiifier operating mathmetical principle about input signal - Two inputs and
    리포트 | 28페이지 | 4,000원 | 등록일 2013.01.05
  • 반전 증폭기 예비+결과레포트
    Power up and measure the peak-to-peak input and output voltages. ... Measure the peak-to-peak values of the input and output signals and record these values below. ... circuits, the inverting amplifier produces a 180DEG voltage phase shift from its input to its output
    리포트 | 8페이지 | 1,000원 | 등록일 2014.10.24
  • SCM 시스템 구축 전략과 솔루션
    V.SCM 시스템 개요 SCP 솔루션 기능 SCE 솔루션 기능 SCM 2.0 개요 SCM 2.0 사례목 차SCM의 정의Inputs계획생산계획 자원운영 할당/납기 약속실행Supplier와 ... 협업 구매관리 제조공급제조물류원료/부품Outputs공정 재고완제품고객 서비스 수준을 만족시키면서 Supply Chain상의 전체 비용을 최소화하는 방법론 상품이 정확한 수량으로, ... SubjectiveSupply Chain VisibilitySCEMTrack Trace Exception Mgmt/Event Notification CollaborationSCPMKPI Analysis
    리포트 | 51페이지 | 3,000원 | 등록일 2018.04.09
  • 전전컴설계실험2-6주차결과
    수15, 15Input1111 (2) =1111(2)OutputEQ On (A=B)4.토론(Discussion)Data analysis (compare results, reasons ... 논리 회로--비교기 진리표-ABEQ(A=B)AGB(A>B)ALB(AB)ALB(A9- 0 0110 ->6-----------0 0011 ->3(output B)|(Output D)학번20094401381 ... B)|(Output D)->B=1==-16 D=1101==13->-16+13=-3나.
    리포트 | 17페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 9조 pre 3주 instrutation amplifier
    증폭된 output Voltage를 구하는 것 이다. ... V21VR과 R1의 비율을 2가 되게 만들어 Gain을 5로 만들고, Input V를 둘 다 1로 하면Vo = (1)( -) = (1+4)(1V-1V) = 0이 되는 것을 확인할 ... =R510k ohmR5k ohmR1=R210k ohmInput V11VInput V2-1VR과 R1의 비율을 2가 되게 만들어 Gain을 5로 만들고, Input V를 1V와 -1V로
    리포트 | 6페이지 | 3,000원 | 등록일 2014.03.06
  • 어업 외 투자효과 및 투입산출분석 : 태안시범바다목장사업을 중심으로
    한국수산경영학회 최종두
    논문 | 11페이지 | 4,200원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • GIS개론 lab#8 중첩
    Analysis Tools - Extract - Clip에 있다.Clip file의 Table Clip file의 image 아래와 같은 창이 활성화되면, Input Features에는 ... Analysis Tools - Over lay - Intersect에 있다. ... 이게 먼저, 첫 번째 차이점이다. intersect는 기준이 되는 file이 존재하지 않지만, clip은 기준이 되는 input file이 존재하며 output file은 input
    리포트 | 7페이지 | 1,500원 | 등록일 2013.04.21 | 수정일 2013.12.01
  • 제주넙치 양식산업의 경제파급 효과분석
    한국수산경영학회 김진옥, 강석규
    논문 | 12페이지 | 4,300원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 경희대 기초회로실험 결과보고서(lab 9Y)
    CHARACTERISTICSV(OUT)/V_V1 = 2.727E-08INPUT RESISTANCE AT V_V1 = 2.805E+03OUTPUT RESISTANCE AT V(OUT ... \SCHEMATIC1.net"**** INCLUDING SCHEMATIC1.net ***** source SIMULA.EXTERNAL OUTPUT VEE.EXTERNAL OUTPUT ... C:\Users\윤희찬\AppData\Roaming\SPB_Data\cdssetup\OrCAD_PSpice\17.2.0\PSpice.ini file:.lib "nomd.lib"*Analysis
    리포트 | 13페이지 | 1,000원 | 등록일 2016.12.24
  • 전자회로 액티브 필터의 설계.
    of the square wave input, first output when the input is 5V to 0V and the input to 5V output, the input ... The analysis and construction of narrowband filters is considerably simplified if we stipulate that the ... ) by removing the output is used as a signal for the purpose of smoothing.Cuf Off Frequency?
    리포트 | 8페이지 | 1,500원 | 등록일 2014.01.29
  • 1-DOF drive arm system을 원하는 spec에 맞는 제어기 설계
    input output U(s) θ (s) 27.4 Kc Kc =0.231 input output U(s) θ (s) 27.4 0.231 Design Controller - PD Controller ... Controller - PD Controller - input output U(s) θ (s) 27.4 Kc (s+18.6478) Root Locus! ... Parameter -Design Controller - PD Controller - input output U(s) θ (s) 27.4 PD, PID Controller Design
    리포트 | 32페이지 | 10,000원 | 등록일 2012.05.11
  • 아주대학교 통신실험 예비보고서 (unit2)
    Unit 2 Spectral Analysis-Exercise1 Introduction to Spectral Analysis-Exercise 4 Harmonic Composition ... 그 후 Dual Function Generator의 Output_B에 True RMS Voltmeter/Power Meter의 Input에 연결하고 Output Level B를 True ... 같이 맞춘다.3) Spectrum Analyzer의 X, Y Output과 Oscilloscope의 X, Y Input에 연결한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2013.11.28
  • DEA 모델을 이용한 콘크리트 공사 최적 작업 조 도출
    DEA ANALYZER 공사장의 INPUTS 공사장의 OUTPUTS How? ... 콘크리트공 일반 인부 최적의 노무 작업조 (Input) 공사 장 최적의 노무비 예산달 성 최대 콘크리트 작업량 (Output) 문제소개 수식모형 문제의목표 엑셀모델링 연구결과 측정방법 ... 측정결과 공사장 작업조 구성에서의 DATA ENVELOPMENT ANALYSIS (DEA) 모델 공사장의 콘크리트 타설공 인원 , 일반 인부 인원을 투입한 뒤 콘크리트 작업처리시간
    리포트 | 12페이지 | 3,000원 | 등록일 2013.06.27
  • 휴경지를 활용한 바이오에탄올연료 생산의 경제성 비교분석
    한국국제농업개발학회 이종인, 최호준, 정해동, 최윤상
    논문 | 9페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • OR, XOR, 반가산기, 정가산기실험
    위에 나와있는 전가산기 회로도를 보듯이, S에 연결된 XOR게이트의 Input이 A,B로 연결된 1번째 XOR게이트의 Output과 C로 구성된 Input이었다. ... Discussion- Data analysis (compared results & reasons for error)첫 번째 실험에서는, OR게이트를 이용한 실험이었고, OR게이트 특성상 ... 특성상 (0, 0) (1, 1)을 제외한 인풋이 1인경우가 하나있는 경우에 Output이 들어오는 결과를 얻었다.세 번째 실험에서는, 반가산기 실험이었다.
    리포트 | 14페이지 | 2,000원 | 등록일 2014.02.14
  • U-airport 도입에 따른 탑승수속 process의 개선효과파악
    Output Analysis Conclusion연구주제 및 대상연구주제 : U-Airport System의 도입에 따른 탑승 수속 process의 개선 효과 파악 연구대상 : 인천공항 ... 가장 적합하다.Data Analysis- Estimating Input Distribution (U –departure) U-departure Service time 은 위 분포의 ... plot 를 보았을때 해당대각을 가장 잘 따르는 Exponential Distribution이 가장 적합하다.Data Analysis- Estimating Input Distribution
    리포트 | 37페이지 | 3,000원 | 등록일 2013.06.27 | 수정일 2014.03.18
  • 계명대 계량경제분석 기말고사 과제
    OUTPUT OUT=SAS dataset keyword=names…; - OUTPUT을 받아내는 SAS 데이터셋 이름을 지정 / OUTPUT을 받아내는 SAS 데이터셋에 보관하고자 ... ID FIELD $ MID FINAL;RUN;FOOTNOTE 'A REPORT ON REGRESSION';TITLE 'ECONOMETRIC ANALYSIS';PROC UNIVARIATE ... SAS 이외의 다른 소프트웨어로 분석이 요구되는 경우DATA KIM;INFILE "I:\KIM.DAT";INPUT ID FIELD $ MID FINAL;RUN;PROC PRINT DATA
    리포트 | 51페이지 | 7,000원 | 등록일 2014.04.28 | 수정일 2017.09.09
  • 전전컴설계실험2-10주차 결과
    Up/Down과 Input Date를 입력으로 줄 수 있게끔 설계한 다음에 그 결과값 Output을 7Segment로 표현하는 과정으로 설계한다.실험2에서는 HB-Comb의 새로운 ... 토론(Discussion)Data analysis (compare results, reasons of error)실험1과 실험2에서의 Error는 발생하지 않았다.1) Lab1 (Data ... -Lab 2Design counter with Piezo Input CLK(from board) – 1bitButton Switch : 1~8 – 8bitLoad Switch : 9
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:46 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대