• 통큰쿠폰이벤트-통합
  • 통합검색(544)
  • 리포트(490)
  • 논문(24)
  • 시험자료(22)
  • 방송통신대(4)
  • 서식(3)
  • 자기소개서(1)

"input-output analysis" 검색결과 81-100 / 544건

  • 한국 R&D투자의 기술수준별 제조업 구분에 따른 경제적 파급효과 분석: 산업연관표 활용
    기술경영경제학회 박창대, 안승구, 박중구
    논문 | 21페이지 | 5,700원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 6시그마 (Six Sigma)
    / Process / Output Indicator 도출 CTQ 선정 (Output Indicator과 CCR 연관도 분석) 램프 기밀성능에 대한 현수준 측정 및 수밀시험 대상 시료 ... 정확한 데이터의 수집은 6시그마 프로젝트 경영혁신의 근본바탕이 된다.3) 분석단계(Analysis) 분석단계는 결함이 언제 어디서 발생하는지를 찾아내는 단계이다. ... 12월방법SIPOC 및 FDPM (기능 전개 프로세스 맵핑)을 통한 과제 범위 선정 고객 요구사항 정의 : VOC 조사를 통한 CCR 후보 선정 (VOC  KCI  CCR) Input
    리포트 | 14페이지 | 2,500원 | 등록일 2020.01.22
  • 인하대학교 아날로그회로설계 two stage OPamp 설계 (손계산, Hspice코드+결과창, layout)
    Cox=5fF/(um)^2 ,넓이 1000(um)^2TWO STAGE OP AMP+OUTPUT STAGE(class A)회로도hand calculationOperating point ... 1 8 1MEGCF 1 COM 1UVIN 2 0 DC 1.5V AC 1VCOM COM 0 DC 1.5V.AC DEC 50 1 1G.PROBE VP(6).END****** ac analysis ... GATE: + INPUT)M1 5 8 3 3 PN W=500U L=1UM2 6 2 3 3 PN W=500U L=1U*ACTIVE LOADM3 5 5 0 0 NN W=16U L=1UM4
    시험자료 | 36페이지 | 4,000원 | 등록일 2021.07.04
  • QbD 실험계획법
    Factor ( 인자 or 요인 ): input, 실험 인자 , X 로 표현 - Response ( 반응치 ): output, Y - 수준 (Level): 각 인자의 실험 설정치 ... FMEA. * Establish DS and NOR from knowledge space. * Use DoE, modeling, and multivariable statistical analysis ... /minitab/18/help-and-how-to/modeling-statistics/doe/how-to/factorial/create-factorial-design/create-general-full-factorial
    리포트 | 30페이지 | 5,000원 | 등록일 2022.01.21
  • A+ 성인간호학 CASE STUDY 심부전HF
    pH 높고, PaCO2, PaO2, TCO2, SaO2가 낮게 측정됨.- I/O 결과 : +1020, +1320으로 InputOutput보다 높게 측정됨.- 복도를 걸어다닐 때 ... L105201Total Bilirubin0.2-1.2 mg/㎗0.71.1④ ABGA(Arterial Blood Gas Analysis)구분정상범주검사결과( 2월 28일)pH7.35- ... 7.45▲7.485PaCO235-45 mmHg▼32PaO283-108 mmHg▼65.4BE(Base excess)-2-+2 mmol/L0.2HCO3-(bicarbonate)22-26
    리포트 | 24페이지 | 3,000원 | 등록일 2023.01.28
  • [A+] 성인실습케이스, 대퇴골경부골절환자 간호사정 및 간호수행 (3개의 간호진단, 간호수행을 중점으로, 문헌고찰 X)
    현재의 수분 섭취량: 입원 전 1/2, 이유: 식욕부진 이유와 동일.2/132/142/15input250020202530output1561.515901750total+938.5+430 ... )blood gas analysis구분항목결과정상범주임상의의2/82/13(OP)bloodgas analysisPH7.3857.452 ▲7.35~7.45▲ 감염, 구토, 발열, 과호.0 ... 신경계 : 의식상태 정상 언어능력 - 운동장애 -감각장애 - 경 련 - 두 통 -마 비 - 반 사 - 실 신 -기 타 -?
    리포트 | 11페이지 | 3,000원 | 등록일 2022.05.08
  • 신장결석 A+ 케이스 스터디입니다. 간호진단 4개, 간호과정 2개입니다.
    -대상자는 수술 후 3일까지는 input에비해 output이 많은 양상을 보였으나그 이후에는 적절한 균형을 유지하는양상을 보였음.Chest PA촬영을 시행한다.-11/13일에 측정한 ... Renal stone11/8 : Rt D-J cathether뇨화학11/8결석 분석을 위해서 시행함.Stone analysis : renal stone Rt.Calcium oxalate ... 성인간호학실습-신장결석 Case Study-Ⅰ.
    리포트 | 25페이지 | 2,500원 | 등록일 2022.03.27
  • 욕구조사
    단계자원할당의 우선순위를 결정하기 위해 욕구조사 필요Activity 단계사회복지적 개입방법을 선택하는 데 욕구조사 필요Output, Outcome 단계목표의 달성 여부를 평가하는 ... , 기획의 한 단계로서 이해되어야 함· 기획의 첫 단계는 문제분석을 통한 목표의 설정인데 문제분석을 위해서는 욕구를 정확하게 파악해야 함· 논리모델을 기준으로 한 욕구조사의 필요성Input ... 욕구측정을 위한 기법1) 지표분석사회지표조사(social indicator analysis)특징· 일정 인구가 생활하는 지역의 지역적, 생태적, 사회적, 경제적 및 인구적 특성에 근거하여지역사회의
    리포트 | 8페이지 | 4,000원 | 등록일 2021.04.07
  • A+받은 자료) 아동간호학 케이스 스터디 CASE STUDY 가와사키 질환 병태생리, 간호진단, 간호과정 수록 (진단, 과정 3개)
    I/O1월10일1월11일1월12일1월13일1월14일1월15일Input90511697161200465Output(횟수)대변-20020소변-813982체중6kg------ 평소 경구로 ... 상피세포(Squamous epithelial cells)가 출현하며 이들 이외의 상피세포가 나올 경우 병변이 의심될 수 있음Routine UA & MicroscopyBlood Gas Analysis검사명정상치결과임상적 ... -126140RR(회/분)2626-3738403244-3030BT(℃)36.836.636.736.73736.836.937.036.836.936.9SPO2(%)-----100----99
    리포트 | 36페이지 | 3,500원 | 등록일 2021.08.14 | 수정일 2021.09.08
  • 바이오헬스 의학용어 기말 교안 자료(A+ 보장, 신경계통~감각기관)
    division(arousing)부교감신경 Parasympathetic division(calming)감각신경 Sensory(afferent) nervous system (sensory input ... )운동신경 Motor(efferent) Nervous system (motor output)진료과Neurology 신경과Neuro surgery 신경외과Rehabilitation medicine ... 대상포진Hydrocephalus 수두증Epilepsy 뇌전증Sciatica 좌골신경통Cerebral infarction 뇌경색Cerebral contusion 뇌좌상Cerebrospinal fluid analysis뇌와
    시험자료 | 104페이지 | 7,000원 | 등록일 2023.01.07 | 수정일 2023.06.10
  • 국제정치학 시험대비 정리[외교정책결정이론]
    -> 경험한 문화와 역사, 이념체계 등이 정책결정시 고려할 수 있는 사회적 환경을 구성ㆍ브레허(Brecher): 정교한 모델로 발전시킴, 투입-과정-산출모델(Input-Process-Output ... 정립 X, BUT 정책결정자의 심리적 탐구, 국가 및 사회특성이 정책결정 과정에 영향을 미치는 요인 연구에 큰 영향③ 외교정책결정 수준과 예비이론 모델ㆍ분석수준(level of analysis ... 모델ㆍ스나이더(Snyder), 브룩(Bruck), 사핀(Sapin)의 공동노력에 의해 시도ㆍ정책결정 과정 분야의 선구자ㆍ작용-반작용-상호작용모델(Action-Reaction-Interaction
    시험자료 | 7페이지 | 1,500원 | 등록일 2021.01.29
  • WJS-MA-001리스크_및_기회_관리_프로세스
    영향에 따른리스크와기회 요인을식별한다.안전보건주관부서각 부서(팀)리스크 및기회관리기준위험성 평가및 관리기준안전보건경영시스템문서번호개정일자리스크 및 기회 관리 프로세스개정번호페 이 지INPUT단위프로세스OUTPUT활동부서관리기준 ... 프로세스OUTPUT활동부서관리기준 및 방법주관협조- 경영방침- 사업계획P1사업계획 관리부서안전보건 주관부서리스크 및 기회 관리의 준비리스크 및 기회 관리 준비자료1. ... 」이라고하면 「안전보건 리스크」를 의미한다.3.5 리스크 식별 (Risk Identification)리스크의 원인이 될 수 있는 요인을 파악하는 활동3.6 리스크 분석 (Risk Analysis
    서식 | 16페이지 | 3,000원 | 등록일 2022.12.03
  • 문서 관리
    NoPAGE PAGE 1 / NUMPAGES 7GMB-GE01-01(A)(Rev.1)㈜진매트릭스바이오 ... )에 대한 입력물(input) 내용관리본: 문서와 관련된 업무를 관리, 수행 및 검증하는 모든 곳에 최신본 문서가 사용되도록 관한다.외부출처문서: 외부에서 입수하여 업무에 적용되는 ... 모든 문서문서담당부서: 문서의 수발, 보존, 폐기, 통제, 서식 및 공인의 사용 등 문서에 관한 사무를 담당하는 부서자료: 문서를 작성하기 위한 기초 자료, 실험결과 등 출력물(output
    서식 | 7페이지 | 500원 | 등록일 2021.01.22
  • Ford자동차 고객지정요구사항(2017)
    1 적용범위1.1 일반사항IATF16949:2016, 초판, 2016년10월1일, "자동차품질경영시스템표준", ISO9001:2015, 제5판, 09/15/15, "품질경영시스템-요구사항 ... IATF 16949 등록을 추구하는 하위 조직은 FSP(Ford Supplier Portal) 또는 FSP에서 Tier-1을 통해 문서에 액세스해야 할 수 있다.3 용어 정의IATF
    리포트 | 36페이지 | 2,500원 | 등록일 2020.08.08
  • 중국 사천성과 중경시의 공항의 이용효율성 비교
    The analysis period was set as 2013-2022. ... And the output variables used passenger traffic, cargo traffic, and number of flights. ... The terminal area, number of gates, and number of open lines were used as input variables in this study
    논문 | 26페이지 | 6,400원 | 등록일 2024.02.12 | 수정일 2024.09.11
  • 건국대학교 기구설계 실생활 4절링크 프로젝트
    synthesis ⅠMechanism analysis and synthesis Input ( 로커운동 ) - 동력제공 Output ( 크랭크운동 )Output ( 크랭크운동 ) 결과 ... 4 절링크 발표 - 재봉틀 -기구 해석 및 분석 Input / Output 출력결과 기구원리 기구 선도 자유도 기구학적 분석 ContentsMechanism analysis and ... Analysis - 도해법Velocity Analysis - 해석적방법Velocity Analysis - 해석적방법Ⅲ Instant CenterInstant CenterInstant
    리포트 | 21페이지 | 3,000원 | 등록일 2019.08.15
  • [연세대학교 물리학과 물리학실험(A-1)] 7번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    Also, the node voltages at the input nodes of an ideal operational amplifier are equal.For nodal analysis ... Here’s the basic schemator and the DC Power Supply at 100kHz >< Input and Output Voltage Curves on the ... and the DC Power Supply at 300kHz >< Input and Output Voltage Curves on the Oscilloscope at 300kHz >
    리포트 | 13페이지 | 5,000원 | 등록일 2019.07.23
  • [연세대학교 물리학과 물리학실험(A-1)] 9번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    ]Input Voltage [V]Minimum Voltage [V]Maximum Voltage [V]Output Voltage [V]101-0.420.520.941001-0.420.520.945001 ... Experimental Results & Analysis1) VCVS Active FilterFirstly, we desig1-0.060.0120.07248001-0.05-0.0080.042To ... Also, the node voltages at the input nodes of an ideal operational amplifier are equal.For nodal analysis
    리포트 | 13페이지 | 5,000원 | 등록일 2019.07.23
  • 미시경제학원론 중간고사 대비 자료
    산업연관표 (input-output table) / Cost-Benefit-Analysis (CBA) / Minimal Revenue Guarantee (MGR) / FTA 투자자 ... 투입(cost, input, 비용)으로 가능한 많은 산출(benefit, output, 편익) 얻어 내는 것3. ... 공유재산권 -문중 2) 재산권에 대한 권리 ① 처분권 -제한 사유
    시험자료 | 14페이지 | 7,000원 | 등록일 2019.03.05 | 수정일 2022.08.08
  • [연세대학교 물리학과 물리학실험(A-1)] 8번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    Also, the node voltages at the input nodes of an ideal operational amplifier are equal.For nodal analysis ... input resistance, nonzero output resistance and finite voltage gain. ... Unexpected noises were observed on the input and the output voltages.
    리포트 | 11페이지 | 5,000원 | 등록일 2019.07.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:36 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대