• 통큰쿠폰이벤트-통합
  • 통합검색(534)
  • 리포트(483)
  • 논문(22)
  • 시험자료(21)
  • 방송통신대(4)
  • 서식(3)
  • 자기소개서(1)

"input-output analysis" 검색결과 461-480 / 534건

  • [디지털신호처리]저역통과필터설계
    작게 나왔다.>> 실제 설계한 LPF(Low Pass Filter)의 특징으로서 낮은 주파수({OMEGA _{p}이하)에서는 output값이 input값에 비교적 가까웠고, 높은 ... 주파수({OMEGA _{s}이상)에서는 output값이 input값에 비해 작게 나왔다.2) pspice를 이용한 예상치와 차이가 많이 났다.>> pspice를 이용한 예상치에 비해 ... _{p}){Vin=8Vp-p, f=188Hz({OMEGA _{s}){{Vin=8Vp-p, f=252Hz(3) 실험 결과 분석1) 낮은 주파수에서 높은 주파수로 갈수록 output값이
    리포트 | 7페이지 | 2,500원 | 등록일 2004.12.28
  • 시스템 분석 및 설계에 대한 기법과 도구에 대해 기술
    기본요소: Input, Output, Process, Feedback, Control□ 시스템의 특성: 목적성, 제어성, 자동성, 종합성□ 시스템의 개발 방법○ 축차적 방법- 시스템의 ... Information Hiding),추상화(Abstraction), 상속성(Inheritance), 다형성(Polymorphism)□ 객체지향 분석(OOA:Object Oriented Analysis ... 목적이 명확해야 함- 안정된 기존의 시스템이 존재하여야함- 시스템 환경이 비교적 안정되어야 한다○ 규범적 방법- 목표 분석(문제의 정의)- 평가 기준의 설정- 해석- 평가- 다음단계의
    리포트 | 8페이지 | 2,000원 | 등록일 2008.05.29
  • [회계개혁][회계개혁이론][회계제도개혁]외국의 회계개혁 사례를 통해 본 우리나라 회계개혁 이론과 성과 평가(회계정보, 기업회계와 세무회계, 성과관리제도, 예산제도, 복식부기회계, 회계검사, 회계정보시스템)
    )으로 보다 많은 생산, 즉 산출(output)을 가져올 수 있다. ... 이 논리에 따르면, 민간부문의 한계생산이 정부부문보다 높을 때 정부부문에 배분된 자원을 민간부문으로 이전함으로써 양 부문 간 한계생산의 차이를 축소하고, 동일한 자원, 즉 투입(input ... Statement of Net Assets)에 보고되어야 하며, 감가상각비를 모든 비용은 정부전체의 활동보고서(government-wide Statement of Activities
    리포트 | 18페이지 | 7,500원 | 등록일 2007.07.08
  • 기업인사관리
    Questionnaire 는 정보투입(information input), 정신작용(mental process), 작업물(work output), 대인관계(relationships ... 중심의 분권조직은 자율적인 사업별 시장확장을 통해 기업ionnaire (직위분석설문서; PAQ) 는 설문서개발자에 의하여 이미 개발되어 보급된 표준설문서 중 하나이다.Position Analysis ... 선발의 타당도와 신뢰도5-1.
    리포트 | 14페이지 | 1,000원 | 등록일 2009.01.27
  • CIM-은행운영관리
    DEA 모델은 그들의 고객에 기초하여 그들이 얼마나 잘 그들의 서비스 품질(output)의 수준을 이루기 위해 자원(input)을 변형시키는지 지점들을 비교해본다. ... 이 정보는 자주 이용할 수 있다.예금의 수가 지점의 output으로서 일반적으로 보여질 때, 그것이 지점의 미세 환경을 반사하기 때문에 그것은 input으로 여겨진다. ... ▶DEA(Date Envelopment Analysis)의 배경DEA는 적은 가치나 복합적인 투입물로 생산된 복합적인 산출물 그리고 투입물-산출물의 변환 관계들이 알려지지 않은 공공부문
    리포트 | 4페이지 | 1,000원 | 등록일 2004.01.12
  • [경영정보]데이터 마이닝에 대한 연구 (응용과 적용사례)
    관련분야의 용어적 차이통계학 신경망 통계학 신경망 variable feature independentvariable input predictedvalue output DepedentVariable ... , Memory-Based Reasoning)군집분석(Cluster Analysis)연결분석(Link Analysis)의사결정나무(Decision Tree, Rule Induction ... bias..PAGE:17연관성규칙발견 (Association Rule Discovery, Market Basket Analysis)사례기반추론 (Case-Based Reasoning
    리포트 | 38페이지 | 2,000원 | 등록일 2006.04.25
  • [컴퓨터 구조 및 언어][Quartus 2,max]Verilog HDL 이용한 32Bit Arithmetic Logic Unit(ALU)설계(mips)와 분석
    //output [31:0] result; //32bit의 결과값을 출력한다.//input [31:0] in_a, in_b; //32bit의 a.b값의 입력값을 넣어준다. ... //input [2:0] ALU_control; //3bit의 ALU 제어 입력값을 넣어준다. ... 최종구성 모델의 module을 구성하고 설계함//module ALU_32Bit(zero, result, carryout, overflow, in_a, in_b, ALU_control);output
    리포트 | 40페이지 | 2,000원 | 등록일 2005.10.05
  • [기계공학실험 기계공학실험] LabVIEW를 이용한 DAQ 시스템 구성
    BNC-2120 Block에서 처음에 BNC케이블을 AI(Analog Input) Channel 0번(GR 0 source)에 연결하였기 때문에 0번 채널로 설정되어야 한다.- knobs ... 처음 BNC-2120 Block을 사용할 때는 1회전 당 96개의 pulse가 output되므로 매뉴얼에 나와있는 블록다이어다. ... 루프내의 설정시간으로 루프를 수행할 때 동기화 할 수 있다.- x,y로 들어온 값을 output 위쪽에서는 x,y를 나눠서 나머지 값만 보내고 밑쪽에서는 x,y를 나눠서 몫만 보낸다
    리포트 | 9페이지 | 2,000원 | 등록일 2005.03.18
  • [컴퓨터공학]인공신경망정리
    장점 1) Non-linearity - Nonlinear한 현상들을 분석하는데 유용하다. 2) Input-Output Mapping - 입력자료를 학습하여 모델이 구축된다. - 타방법 ... Artificial Neural Network다변량변수의 종류와 통계분석Principal Component Analysis Factor Analysis Cluster Analysis독립 ... parameteriniOjGaussian Functionis width of the graph외부자극에 대한 반응은 단순히 선형적인 관계에 의해서만 이루어지지 않기 때문에 Net Input
    리포트 | 13페이지 | 2,000원 | 등록일 2004.12.23
  • [동시공학]CAN-Q
    / output level Processing level Processing input / output level3) geometric control의 전형적 3가지 형태1 point-to-point ... CAN-Q ProblemFor the final report #4, your groups are to perform an analysis for a flexible manufacturing ... information2 도구 또는 workpiece를 위한 geometric data(G, X, Y)와 technological information(F,S,T,M) 인 Data input
    리포트 | 27페이지 | 2,000원 | 등록일 2006.03.25
  • [디자인]창조성 기법의 분류
    입출력법(input and output method):이 방법은 미국의 제너럴 일렉트릭사가 주로 자동 시스템의 설계에 사용한 것이다. ... NM-T:◎KW(keyword)의 설정-예를 들면, 종이의 새로운 용도를 찾으려 할 때 종이의 특성인 가볍 다,얇다, 질기다 등을 키워드로 한다. ... 형태분석법(morphological analysis):이 기법은 미국의 캘리포니아 공대 프리츠 스윗키 교수가 고안한 것으로서 제품의 형태를 분석하여 아이디어를 내는 발상법이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2006.05.15
  • [경영학]전과정평가에 대하여
    (Input)되는 자원과 에너지 및 생산 또는 배출 (Output)되는 제품? ... LCA의 목적과 범위설정에 필요한 사항은 다음과 같다.2) 목록분석 (Inventory Analysis)LCA의 핵심적인 단계로 대상물의 전과정 (Life Cycle)에 걸쳐서 투입 ... 질 (Data Quality)- 전제조건, 제약사항- 고려할 환경영향평가항목의 설정과 그 평가방법- 할당 (Allocation) 방법- 제품과 부산물, 또는 재활용에 대한 환경부하의
    리포트 | 2페이지 | 1,000원 | 등록일 2005.11.18
  • 제 2장 교육행정이론의 발전 핵심요약 - 학교,학급경영
    )-산출(Output)이란 모형의 틀로써 표시된다.⑤ 상호관련성 : 체제 각 부분의 내적 상호작용과 상호의존성, 그리고 체제와 그의 환경의 상호작용을 말한다.⑥ 통제기제 : 체제는 ... 행정현상에서 정립된 이론은 다른 를 이루고 있는 상호관련된 부분들의 집합으로 보고 있다.2) 방법으로서의 체제① 카우프만(Kaufman)은 체제접근을 다시 체제분석(System Analysis ... 사용하는 자원의 원천이 되며 체제가 창출하는 가치의 사용자가 된다.④ 전환 : 체제는 목적달성을 위한 여러 자원을 활용하여 산출로 전환시킴으로써 가치를 창조하는데 전환과정은 보통 투입(Input
    리포트 | 14페이지 | 4,000원 | 등록일 2008.06.11
  • [교육공학] 직업교육학
    교육에의 투입-산출 비율(input-output ratio)에 의해 이루어지는 것으로 교육에의 전체비용에 대한 수익의 총체적 가치를 설명해 주는 평가방법.? ... 비용-편익분석(cost-benefit analysis)? ... 비용-효과 분석(cost-effectiveness analysis)?
    리포트 | 3페이지 | 1,000원 | 등록일 2004.11.24
  • [논리학]Hilbert`s Program
    values to produce an output. ... In mathematicsor logic, a finitary operation is one, like those of arithmetic, that take a number of input ... David Hilbert in 1920.Hilbert proposed that the consistency of more complicated systems, such as real analysis
    리포트 | 7페이지 | 1,500원 | 등록일 2006.11.16
  • 학교경영평가의 실제
    Stufflebeam(1971)이 한 평가대상을 상황(context),투입(input),과정(process),산출(output)로 나누어 평가하는 CIPP평가 모형은 대표적인 체제지향평가의 ... 이 정의에 의한 평가는 평가대상정-산출과정으로 이론화된 체제 모형으로 수렴하여 평가한다. ... 활용될 수 있는 방법은 다섯 가지인데, 각기 강점과 한계가 있다.① 전통과 전문가 의견(tradition a전문가 의견에 의한 방법과 유사한 약점을 지니고 있다.③ 직무 분석(job analysis
    리포트 | 17페이지 | 2,000원 | 등록일 2008.05.04
  • [포트란] 포트란 내용정리
    3 m=7./4. --> 1{print *, output-listorwrite(*,*) output-list2.4 Input/OutputList-Directed Outputwhere ... Application: Temperature Conversion[온도전환문제]1) Specification.Input : C (Celsius)Output : F (Fahrenheit ... (*,*) input-listwhere input-list : a single variable or a list of variables separated by commas.
    리포트 | 45페이지 | 1,000원 | 등록일 2004.01.27
  • ERP 생산관리
    Production System1) 생산 시스템의 기본구조투입 (INPUT)변환/공정 (TRANSFORMATION /PROCESS)산출 (OUTPUT)원자재, 노동력, 자본, 기계, ... Market Analysis (시장분석)3. Market Segmentation4. 수 요 예 측5. Production System1장. 기업환경과 경쟁력1. 기업환경2. ... 및 세분화 -아이디어수집 -고객요구 -제품선정-제품설계와 공성설계를 같이 고려 -수요예측, 용량을 고려한 공정설계 -CALS(CAD, CAM, CAPP) -Robust Design
    리포트 | 23페이지 | 1,500원 | 등록일 2007.11.27
  • 화학공학과 학부 실험 기체흡수 결과 보고서
    출구기체는 94 mol의 공기를 포함한다.= 0.0002이므로 기체속의 암모나아 몰수는94(0.0002/0.9998) = 0.0188 mol∴ 흡수된= Input - Output = ... Hample gas analysis 측정시 탑 상부의(횟수와 gas의 양) 그래프횟수(축)123456789 취한 기체중의 CO2의 몰분율()을 계산하면 다음과 같다.so,유입기체 몰분율배출기체 ... lbf-ft/lb-s2이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2007.11.07
  • [정책평가론]정책평가의 기준
    그들은 목표, 수단의 분석(means- ends analysis)을 통해 정확성을 기하기 위해 각종 기법을 개발한다.존슨 대통령의 계획예산제도(PPBS), 닉슨 대통령의 목표에 의한 ... )을 고려하지 않고 투입(input) 또는 에너지만 평가하는 것이다.② 실적(performace) : 노력자체보다 노력의 결과를 평가한다.③ 적정성(adequacy) : 총 필요량과 ... Anderson은 정책평가를 정의함에 있어서 먼저 정책산출(policy outputs)과 정책결과(policy outcomes)를 구별지으면서 정책평가란 “실제의 현실생활조건(reallife
    리포트 | 18페이지 | 1,500원 | 등록일 2006.06.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:49 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대