• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6)
  • 리포트(6)

"verilog 계산기 kit" 검색결과 1-6 / 6건

  • verilog code - (combo kit) 10진수 2자리수 계산기(덧셈,뺄셈,나눗셈,곱셈), 7-segment, vfd로 출력
    kit_calculator_SegVfd_2digit▶ Module kit_calculator_SegVfd_2digit 의 동작 설명⇒ 0부터 99까지의 2자리 수를 입력 하고 덧셈이나
    리포트 | 32페이지 | 3,000원 | 등록일 2014.04.25
  • verilog code - (combo kit) 4bit 2진 덧셈기를 led로 출력
    key matrix module을 지운 kit_4adder_led(combo1 kit에 맞춘 code)▶ 수정된 keyin 모듈 코드 (원래의 코드는 주석으로 처리)▶ 수정된 kit ... _4adder_led모듈, 그리고 tb_kit_4adder_led 테스트벤치를 수정하였다. ... 한다.keymatrix모듈은 keypad의 값을 scan을 하여 알아내는 모듈이므로 comboⅠ에서는 필요가 없는 모듈이다.그러므로 keymatrix 모듈은 삭제하고 keyin 모듈과 kit
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • [전기전자기초실험] 연산 회로 설계 실험 결과보고서
    계산해보면 최대 지연 시간은 170.3㎱가 되고 최대 동작 주파수는 5.872㎒가 된다.③ 32비트의 덧셈기를 구현할 경우 가장 빠른 동작 속도를 나타내는 덧셈기 찾기- 32비트 덧셈기를 ... 캐리 예측 가산기에서는 입력 오퍼랜드가 결정되면 입력에 의해 결정된,를 이용하여 모든 캐리를 동시에 계산할 수 있다.- 단점? ... Digital Circuit Design Training Kit를 바꾼 경우에 Device 설정을 해주지 않았거나 Kit 자체에 결함이 있으면 에러가 날 것이다.⑤ MAX+PLUSⅡ
    리포트 | 8페이지 | 1,000원 | 등록일 2009.07.29
  • 연산 회로 설계 실험-예비보고서
    목적2진수의 음수 표현을 이해하고, 4-bit 덧셈기/뺄셈기의 구성과 동작 원리를 파악하고 verilog 시뮬레이션을 진행한 후에 FPGA Kit에서 동작을 확인한다. ... 개요① 2진수의 음수 표현의 이해② 4-bit 덧셈기/뺄셈기의 구성과 동작 원리 이해③ 4-bit 덧셈기/뺄셈기의 verilog 시뮬레이션 및 FPGA Kit 실험 수행④ 4-bit ... ALU 구조와 동작 이해와 verilog 코eld⑤ 4-bit ALU 시뮬레이션 및 FPGA Kit 실험 수행3.
    리포트 | 7페이지 | 1,000원 | 등록일 2006.11.23
  • verilog code - (combo kit) 4bit 2진 덧셈기를 7-segment로 출력
    덧셈기 역할 부분ⅰ) keyin: comboⅠ 키트의 keypad(0~F)를 받아들이고, 받아들인 키를 4bit로 바꾸어 4bit adder가 계산할 수 있도록 도와준다. ... kit_4adder_7seg▶ kit_4adder_7seg 의 최종 작동1. ... 덧셈기와 dot matrix led를 한번에 제어하여 최종 출력하는 kit_4adder_7seg4. kit_4adder_7seg를 최종 점검하는 tb_kit_4adder_7seg
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 조합회로 설계 실험-결과레포트
    나머지 출력값들은 실험책 표 8-3의 진리표의 값과 같음을 볼 수 있다.④ 7-세그먼트 제어기 회로 설계-> 앞의 실험과 마찬가지로, 이번 실험에는 대체적으로 결과값들이 논리적으로 ... 다른 로직은 사용하지 않고, 하나의 멀티플렉서만으로 구현하시오.③ 7-세그먼트 디코더의 최대 경로 지연을 찾아서 이것을 클록에 의해서 동작시켰을 때의 가능한 최대 동작 주파수를 계산하시오 ... statement가 실행1'b0 : Y = I0;default: Y = I1;endcaseendendmodule-> 저희 조에서는 앞에서 첨부한 에서첫번째 모델로 8X1MUX를 verilog
    리포트 | 8페이지 | 1,000원 | 등록일 2006.11.23
  • AI글쓰기 서비스 오픈
  • 파트너스 등급업 이벤트
AI 챗봇
2024년 08월 16일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:09 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기