• 통큰쿠폰이벤트-통합
  • 통합검색(6,765)
  • 리포트(6,299)
  • 시험자료(195)
  • ppt테마(136)
  • 자기소개서(41)
  • 방송통신대(32)
  • 서식(26)
  • 논문(23)
  • 이력서(12)
  • 노하우(1)

"h-line" 검색결과 1-20 / 6,765건

  • [산업공학] Mixed h-line balancing
    Multi-Function Switchh-line & U-line Line Balancing2004. 03. 17GUYCATS이 름학 번H. ... 프로젝트의 필요성M/F S/W 생산라인은 자동화 라인과 h-line과 U-line이 연동되어 완제품을 생산하고 있다.현재 h-line에서는 각기 다른 옵션을 지닌 12가지의 EF-M ... 프로젝트 수행에 필요한 방법론4.1 h-line에서 작업자 이동시간을 고려한 라인 밸런싱 해법 개발ⅰ. h-line의 요소작업 분석ⅱ. U-line의 요소작업 분석ⅲ.
    리포트 | 12페이지 | 1,000원 | 등록일 2004.03.24
  • 1. 기울기가 1보다 큰 선분을 그릴 수 있도록 교재 82쪽(멀티미디어 강의자료 3강 26번 슬라이드)의 알고리즘을 수정하고, 선분 (2, 1) - (5, 8)을 그리는 과정을 구하라
    F = 2 * H - W, dF1 = 2 * H, dF2 = 2 * (H - W);for ( x = xl; x y - p1->y;yi = 1;if (dy < 0 && (yi = -1 ... (int xl, int yl, int xr, int yr) {// 0 < H/W < 1 이라고 가정함int x, y = yl, W = xr - xl, H = yr - yl;int ... ->y - p1->y)){if (p2->x > p1->x)plot_line_low(p1, p2, m_pixel);elseplot_line_low(p2, p1, m_pixel);}else
    방송통신대 | 6페이지 | 4,300원 | 등록일 2020.07.07 | 수정일 2020.10.14
  • [방송통신대학교]C프로그래밍_출석수업_대체_과제물
    후 부호를 바꾸어 출력하는 프로그램을 작성하시오. *//* 도입부 */#include // printf()와 scanf()를 사용하기 위한 표준 입출력 헤더 파일인 stdio.h를 ... \n'로 치환),'\n'은 escape 문자로 출력 시 줄바꿈(new line)을 의미 */#pragma warning(disable:4996) // 정상적인 컴파일 진행을 위해 권장하지 ... 이하 세 자리까지 출력하는 프로그램을 작성하시오. *//* 도입부 */#include // printf()와 scanf()를 사용하기 위한 표준 입출력 헤더 파일인 stdio.h를
    방송통신대 | 11페이지 | 3,000원 | 등록일 2023.04.09
  • 2022_2학기_C++프로그래밍_출석대체과제
    = 0; line ... 소스 프로그램TicTacToe.h#ifndef TICTACTOE_H_INCLUDED#define TICTACTOE_H_INCLUDED#pragma once#include #include ... ~TicTacToe(); // 회수 함수};#endif // TICTACTOE_H_INCLUDEDTicTacToe.cpp#include #include "TicTacToe.h"using
    방송통신대 | 8페이지 | 2,000원 | 등록일 2023.08.01
  • 2022년 KLA Field Application Engineer (FAE) 직무 발표 ppt
    T/R Line Generator Trip Frequency Stability Decrease Fig. 4 Special Protection Scheme Concept Project ... relati change in frequency using the resistance supplied by the kinetic energy of the rotating mass” H ... sys = i =1 n H i ∗ S n , i S n , sys Inertia Response Primary Reserve Secondary Reserve Tertiary Reserve
    자기소개서 | 20페이지 | 9,900원 | 등록일 2022.11.11 | 수정일 2022.11.16
  • <방통대 패션디자인> <생활과학과> 2021년 1학기 기말시험 문제 - 다음의 디자인 관련 개념들이 잘 나타나는 디자인 사진을 찾아서 문제별로 1개(1벌, 즉 하나의 앙상블)씩 사진을 삽입하고, 디자인 요소나 기법들이 어떻게 사용되어 문제에서 요구한 내용의 좋은 사례가 되는지 논리적으로 설명하시오. 사진은 2021년 FW 컬렉션 또는
    /fall-2021-ready-to-wear/saint-laurent/slideshow/collection#4 H형 실루엣이 디자인 같은 경우에는 H형 실루엣이다. ... H형 실루엣의 디자인 한 벌을 찾아서 설명하고, 교재에서 배운 H형 실루엣 중 어느 실루엣에 해당하는지를 설명한다. (강의 6강, 교재 6장 참조)3. ... 이는 H형 실 Kiko Kostadinov-시즌 : 2021 SS 컬렉션-웹 주소: http://runway.vogue.co.kr/2021/03/02/ready-to-wear-2021
    방송통신대 | 9페이지 | 8,000원 | 등록일 2021.05.08 | 수정일 2021.05.25
  • 활동은하핵 (active galactic nucleus_AGN)
    Radio galaxies 5-1. Narrow Line 5-2. Broad Line 6. Seyfert 6-1. Seyfert1 6-2. Seyfert2 7. ... AGN Object RA DEC M_ * (stella mass) M_total L D d Borad line NGC 5128 13 h 25 m 27.6 s -43° 01′ 09″ ... line NGC 5128 13 h 25 m 27.6 s -43° 01′ 09″ 5 .5∗ 10 7 M ☉ 9.0∗ 10 11 M ☉ 3.0∗ 10 3 L ☉ 4.2 Mpc 4.2 Mpc
    리포트 | 30페이지 | 9,000원 | 등록일 2023.08.30
  • 윌로펌프_기구설계_최종합격_경력기술서_자소서 전문가에게 유료첨삭 받은 자료입니다.
    (3D UG-NX, 2D CAD)- 사출 및 프레스, 비금형, 시스템 부품 등 주요 기구부 설계- 디자인 결정 및 Pipe line 3D Modeling- 개발품 초안 디자인 리뷰 ... Presentaion- S/W 및 H/W 개발부 협업 진행2) 공정개선 및 양산- 개발품 가동 시 신뢰성 평가 및 주요 특성치 관리 (소음측정 및 평가)- 생산 시스템의 공정 개선을 ... 위한 TF활동 (주1회, 3정 5S 개선 활동)- 개발품 양산이관 업무 진행- P&ID 작성 및 4M 설계 변경서 작성- 양산에 따른 도면 및 BOM생성 관리 작업- 공장 생산부분
    자기소개서 | 1페이지 | 4,000원 | 등록일 2023.12.14
  • 방송통신대학교 1학기 HTML 중간과제 30점 만점에 29점 맞은 자료입니다.
    ;line-height: 50px;-webkit-transition: width 3s;transition: width 3s;}#div_01 {-webkit-transition-timing-function ... 몇개의 콘텐츠를 묶는 용도로 사용보통 제목 요소(h1~h6)를 자식 요소로 포함하고 있는 경우가 많다.ex) 천혜향맛있는 천혜향을 저렴한 가격에 구매가능합니다5.article: 블로그의 ... べんきょう입니다.13.wbr: 단어 중간에서 행바꿈 될 수 있는 (line-break) 위치를 정의할때 사용할수 있습니다.ex) eins zwei drei vier funf sechs
    방송통신대 | 11페이지 | 3,000원 | 등록일 2022.07.07
  • 2022 단국대병원 간호사 합격 면접 질문 (직무 질문마다 코멘트 있음!! 도움 되실거에요!) 단국대학교병원, 단국대병원, 단국대천안병원 간호사 면접 기출문제
    C-line과 A-line의 차이점은?C-line: 중심정맥 카테터이고, A-line: 동맥카테터입니다.Q. ... HBV hepatitis A virus, hepatitis B virus A형간염 바이러스, B형간염 바이러스Hepatic encephalopathy 간성 뇌증, 간성 혼수HT, H/ ... ImpressionKVO keep vein open 정맥확보MRSA Methicillin resistance staphylococcus aureus 메치실린 내성 포도상구균P/H Past
    자기소개서 | 13페이지 | 4,500원 | 등록일 2022.11.16 | 수정일 2023.05.18
  • 방송통신대학교 생활영어 1-2 중간과제물(A+)
    Indented Form Letter : Return adress, Date (right)-indent the first line of each paragraph-skip lines ... Final Notive Before Legal Action(법적 조치를 취하겠다는 마지막 공지)H. Late Payment Cover Letter(연체 대금 지불 동봉 편지)I. ... Attention Line(담당자 표시행) : Attn. 특정한 사람에게 편지를 쓸 수 없을 때‘부서명이나 조직 이름을 먼저 씀?Subject Line(문건 표시행)?
    방송통신대 | 4페이지 | 3,000원 | 등록일 2021.02.21
  • 현대오트론 R&D 합격 자기소개서
    보드를 이용한 전자H/W 설계 텀프로젝트와 라즈베리파이, 비콘, 센서를 이용한 종합설계에서 많은 도움이 되었으며, 이전보다 더 발전된 연구개발능력과 문제해결능력을 갖출 수 있게 되었습니다 ... 하지만, 교수님께서 작년에 우수작으로 뽑힌 LINE TRACER를 가져오셔서 직접 동작되는 것을 확인 시켜주셨고, LINE을 따라서 자연스럽게 움직이는 완성품을 보며 호기심과 열정이 ... 도전적인 목표를 세우고 성취한 경험 두 가지를 간단히 기입해주시고, 한 가지를 선택하시어 자세히 서술해주시기 바랍니다.① 3-1.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2020.11.22
  • 반응현상실험 증류탑 결과레포트
    상승 증기 및 강하 액량 [kg-mol/h]H,h : 증기 및 액의 엔탈피 [kcal/kg-mol]③-2 증류탑에서의 수식1)농축부(rectifying section)에서의 조작선의 ... ※조작선 작도법- equilibrium line과 tie line을 그려준다.- 환류비를 이용하여 top operating line의 기울기와 절편을 구한 후 연결한다.기울기 ={R ... 열량 [kcal/h]QC : 응축기(condenser)에서 제거되는 열량 [kcal/h]V,L : 농축부에서의 상승 증기 및 강하 액량 [kg-mol/h]V',L' : 회수부에서의
    리포트 | 14페이지 | 2,000원 | 등록일 2022.05.30
  • 주석포피린화합물의 합성, NMR 결과
    또, 뚜렷한 작은 2중선이 나왔다.(4)amine H (-NH) 으로 추정되는 값chemical shiftshiftintegrationnumber of line특이점-2.781ppmupfield21원래 ... 그래서 down field 되었다.(4)amine H (-NH) 으로 추정되는 값chemical shiftshiftintegrationnumber of line특이점-2.781ppmupfield21원래 ... 주위 수소인 ortho 까지 coupling된 결과가 아닐까 예측해본다.(4)amine H (-NH)chemical shiftshiftintegrationnumber of line특이점
    리포트 | 12페이지 | 2,000원 | 등록일 2020.10.11 | 수정일 2020.10.13
  • 주석포피린화합물의 합성, NMR 결과 (2)
    또, 뚜렷한 작은 2중선이 나왔다.(4)amine H (-NH) 으로 추정되는 값chemical shiftshiftintegrationnumber of line특이점-2.781ppmupfield21원래 ... 그래서 down field 되었다.(4)amine H (-NH) 으로 추정되는 값chemical shiftshiftintegrationnumber of line특이점-2.781ppmupfield21원래 ... 주위 수소인 ortho 까지 coupling된 결과가 아닐까 예측해본다.(4)amine H (-NH)chemical shiftshiftintegrationnumber of line특이점
    리포트 | 12페이지 | 2,000원 | 등록일 2020.10.11
  • 개념을 콕콕 잡아주는 C프로그래밍 부록 9장 소스코드
    (Y/N) : ");scanf("%c", &ch);if (ch != 'y' && ch ! ... - 전체 연락처 목록 ---\n");for (i = 0; i < 5; i++){printf("%s%s\n", input[i].name, input[i].pnumber);}return ... {POINT start;POINT end;}LINE;double GetDistance(LINE L);int main(void){double distance;LINE L1;printf
    리포트 | 3페이지 | 1,500원 | 등록일 2022.05.21 | 수정일 2022.05.24
  • [진단검사,임상병리,간호]Influenza Ag (H1N1,2009)
    B line A line Pandemic H1N1 line Gold Flu B Ag Gold Flu A Ag Gold Pandemic H1 Gold Specimen Migration ... 발병 1 일 이내보다 그 후에 바이러스가 증가되어 2-3 일 째에 양성률이 더 높아지는 경향이 관찰되었습니다 .▷ 증상발현 후 1-3 일 이내 검체만 이용하세요 . ... 검사시 주의 사항 1▷ 증상발현 후 1-3 일 이내 검체만 이용하세요 .
    리포트 | 15페이지 | 1,500원 | 등록일 2021.07.31 | 수정일 2021.08.02
  • 컴퓨터지원설계 프로젝트(스털링 엔진) 매트랩(MATLAB) 코드
    -h y5]; % 실린더1 외벽L10x=[x6-h x6]; L10y=[y6-r y6-r]; % 실린더2 외벽L11x=[x6-h x6]; L11y=[y6+r y6+r]; % 실린더2 ... =[x6 x6]; L7y=[y6+r y6-r]; % 실린더2 밑면L8x=[x5-r x5-r]; L8y=[y5-h y5]; % 실린더1 외벽L9x=[x5+r x5+r]; L9y=[y5 ... 감사합니다.clc; clear all;% 링크 길이a=0.065; b=0.19;c=0.16; r=0.0475; % r : 실린더, 피스톤 반지름h=0.21; l=0.3; % h :
    리포트 | 6페이지 | 2,000원 | 등록일 2022.07.04
  • 4.삼성분계
    이 두 층의 조성은 그림3의 tie line의 끝 점 a와 b로 나타내어진다. ... 이를 혼합계의 전체 조성이 G점으로 나타내어지는 경우 처음에 만들어진 벤젠-물 혼합물 조성은 A점과 G점을 연결한 선이 BW변과 만나는 점H에 해당될 것이다. ... 두 층의 조성은 점점 서로 유사해지므로 tie line의 길이는 점점 짧아진다. 초산을 계속 넣어주면 한 층은 점점 줄어들고, 다른 층은 점점 많아진다.
    리포트 | 4페이지 | 1,000원 | 등록일 2024.06.15
  • 현대물리학 실험 레포트 (4. BALMER-SERIES)
    Separate line이 스크린을 통해 가시화 될 때까지 adjustable slit을 좁힌다.d. 스크린의 0점과 lines 사이의 거리 b를 측정한다.f. ... 실험 이론가시광선 영역에서 수소 원자의 스펙트럼은H _{alpha } ,`H _{beta } ,`H _{gamma }인데 이 선들은 자외선 영역까지 확장되는 완전한 series를 따른다 ... 물 분자는 여기된 수소와 수산기 그룹의 전기적 방전에 의해 분해된다.H _{alpha } ,`H _{beta } ,`H _{gamma }의 파장은 저분해능 에돌이에 의해 측정된다.
    리포트 | 3페이지 | 15,000원 | 등록일 2023.07.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 23일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대