• 통큰쿠폰이벤트-통합
  • 통합검색(123)
  • 리포트(118)
  • 자기소개서(4)
  • 논문(1)

"VHDL 7segment" 검색결과 1-20 / 123건

  • VHDL, ABEL - 7 segment
    ☞ 시뮬레이션 파형을 보면, 설계한 진리표와 결과가 일치하는 것으로 보아, 16진수를 표시하는 7segment decoder로써 동작함을 알 수 있다. ... [Report 6-1] : ABEL, VHDL PGM for 74491. ... ;"C[1,1,1,0,1]->[0,0,0,0,0,0,0];"d[1,1,1,1,0]->[0,0,0,0,0,0,0];"E[1,1,1,1,1]->[0,0,0,0,0,0,0];"FEND seven_segment
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • VHDL을 이용한 설계-7 segment
    클럭입력은 ‘0’과 ‘1’을 가지는 std_logic으로 정의되고, segment는 7개의 신호로 결정되기 때문에 6bit를 가지는 std_logic_vector로 정의된다. segment ... 테스트 벤치 또한 일반적인 VHDL 코드와 같은 구성을 가진다. ... segment: out std_logic_vector (6 downto 0) --segment
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • VHDL을 이용한 7segment 구구단 프로그램(BOOTH 알고리즘 구현, 시연동영상 포함)
    리포트 | 3,000원 | 등록일 2015.04.12 | 수정일 2015.06.17
  • [vhdl] 7segment, testbench파일 포함
    ;architecture behavioral of seg77 issignal clk_500: std_logic;signal cnt: std_logic_vector(20 downto ... ieee;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity seg77 ... STD_LOGIC;SEG : out STD_LOGIC_VECTOR (6 downto 0);DIGIT : buffer STD_LOGIC_VECTOR (5 downto 0));end seg77
    리포트 | 4페이지 | 1,000원 | 등록일 2008.06.14
  • [VHDL 설계] 2-TO-1 MUX 와 7-segment 설계
    Problem) 2-TO-1 MUX와 7-segment를 각각 text Design(VHDL)으로 설계하여 검증(simulate)을 하고 각 symbol을 이용하여 아래 그림과 같이 ... -- s가 0일때 y=d0when `1` => y ... 정의port(s : in bit; -- select 포트, 비트로 표시d0: in bit_vector(3 downto 0);
    리포트 | 3페이지 | 1,000원 | 등록일 2009.02.08
  • xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    -segment 소자2) 구현하는 SYS-Lap 5000에서는 6개의 7-segment 모듈을 하나로 구성한 소자를 사용한다. ... 시계의 구성가.XILINX를 이용한 7-SEGMENT시계의 개념도나.VHDL을 통한 소스 분석3.결론※ 7-SEGMENT를 이용한 시계구현 목적XILINX칩과 7-SEGMENT 모두 ... 기본적인 것은 그림 1과 같으나 7-segment의 개별 led를 구동하는 핀과 각각의 숫자를 구동하는 핀으로 구성되어있다.
    리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
  • [전자공학 ] VHDL으로 7 segment 디코더 설계
    BCD-7세그먼트 디코더(BCD to 7segment decoder)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다. ... _1164.all;entity bitadderentity isport(ain,bin: in std_logic_vector(1 downto 0);bitout : out std_logic_vector ... 는 "0", c, f, d, p는 "1"을 출력하고, common 단자에는 "1"을 출력하여야 한다.▲ CODE--adder2bit.vhdlibrary ieee;use ieee.std_logic
    리포트 | 8페이지 | 1,500원 | 등록일 2004.03.11 | 수정일 2021.12.21
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    _{ 1I _{ 0=11[그림 8]3) 7-segment decoder의 동작 확인A. 74LS47을 이용하여 7-segment decoder의 동작 확인74LS47을 이용하여 [ ... [그림 11]에 입력에 따른 7-segment 출력을 나타내었다. ... 이때, 퀴즈 3번 문제에서는 common cathode 방식의 7-segment를 가정하였으나 실험 키트의 7-segment는 common anode 방식이므로 퀴즈 문제의 진리표를
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 논리회로실험) 7 segment 프로젝트 1 예비
    여기서 LED가 7개 사용되어 7 segment로 불린다.- 애노드 공통형(common-anode type)과 캐소드 공통형(common-cathode type)의 2가지가 있다. ... segment- 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다.- 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 ... segment Decoder-BCD-to-7세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b,CDOTS g 신호를 만들어내는 조합회로로
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    process로, sel 값에 따라 7segment의 위치를 2진수로 나타내어 준다. ... 아래 그림은 7segment의 모식도이며, 각 LED가 a~g, dp로 할당된 것을 알 수 있다. ... Clock의 구현방법은 간단히 소개하자면, 총 5개의 process를 이용하여, 7segment의 값들을 각각 지정하고, 클럭의 시간을 연산하여, 현실의 1초가 지날 때 마다 segment
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    그림 5와 같은 회로를 구성하고 입력에 따른 7-segment의 출력 상태를 기록한다. ... 이번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다. ... 재료저항7404, 7408, 7420, 7432, 7447, 7483, 7485, 7486, 74139, 741517-segment실험 방법비교기비교기의 기본적인 기능은 두 2진수의
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    또한, 7개의 조명조각에 모두 통전(通電)하면 숫자 8이 표현된다. 0~9의 수에 따른 7 segment에서 빛이 켜지는 위치는 아래의 표에 나타내었다. 7-segment에는 2종류가 ... 표시방법7 segment 장치는 7개의 조명조각으로 숫자나 문자를 나타낼 수 있다. ... 스위치에 해당하는 변수, digit_con은 시간이 뜰 6개의 7 segment의 위치에 해당하는 변수, sseg는 7seg에 해당하는 변수이다.(5행-9행)선언부의 signal이
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    진리표에 맞게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)STEP 19:그림 34 – 7-segment display의 ... 보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment display는 A~G의 7개 LED로 ... 마치 7-segment display 4개가 병렬로 연결된 듯한 모습인데, 4개의 part에서 각각 DIG1~DIG4가 공통으로 연결된 것을 볼 수 있다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    각각의 십진수가 4비트 이진수로 인코딩되어있다. 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 설계할 수 있다. ... 따라서 BCD의 각 비트를 입력해주면 디코더를 이용하여 7 segment의 7개의 조명 조각이 각각 출력되게 할 수 있다. 즉, 4비트 10진수를 7비트 코드로 전환하는 것이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    VHDL CODE 및 핀 할당 ·································· 94. ... VHDL 코드 및 핀 할당library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... ;signal clk4 : std_logic;signal clk5 : std_logic;signal clk6 : std_logic;signal clk7 : std_logic;signal
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용한 4×10 ... issignal s_and1, s_and2 : std_logic;begins_and1 ... in3, in4 : in std_logic;out1 : out std_logic);end ud_and4;--declare and2architecture sample of ud_and4
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 19][그림 20]3) 과정 3 : 7-segment decoder의 동작 확인VHDL 코드 및 시뮬레이션 결과는 다음과 같다. ... [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호와 BCD와 값을 일치시키기 위하여 signal을 ... ■-teamproject.vhd--교수님께서 첨부해주신 Top Module의 entity와내부의 프로그램을 구현함에 있어서 필요한 signal을 정의하였습니다.--7 segment ... segment 와 BCD code를 서로 맞게 입력 하였습니다
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    논리회로설계실험 프로젝트 #1BCD to 7 segment 가산기1. ... 설계 목표BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다. ... ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 3 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA의 7 segment를 이용하여 Timer를 설계해본다.Chapter 2. ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증,
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대