• 통큰쿠폰이벤트-통합
  • 통합검색(694)
  • 리포트(581)
  • 시험자료(61)
  • 방송통신대(42)
  • 자기소개서(6)
  • 논문(4)

"ALU 연산" 검색결과 1-20 / 694건

  • Logic Works를 이용한 ALU를 기반의 사칙연산 계산기 구현
    Logic Works를 이용한 ALU를 기반의 사칙연산 계산기 구현[ 목차 ]1. 프로젝트 목표21.1. 설계 목적21.2. 프로그램 개요22. 프로그램 설계22.1. ... 감·가산 연산부52.1.5. 곱셈 연산부52.1.6. 나눗셈 연산부(몫)52.1.7. 나눗셈 연산부(나머지)72.2. BCD 코드로의 입력과 7-Segment82.3. ... 프로그램 개요16진수 디스플레이로 수를 입력 받은 뒤 사칙연산 중 원하는 연산을 정한다.
    리포트 | 31페이지 | 3,000원 | 등록일 2016.08.16
  • CPU에 대해(컴퓨터레지스터,산술논리연산장치(ALU),컴퓨터명령어,CISC와RISC)
    ) ALU 의 구성6.2 ALU Ⅰ 산술 연산 회로 Ⅱ 논리 연산 회로 트 연산 회로 Ⅳ 산술 논리 시프트 장치 병렬가산기 C ₁ =0 병렬가산기 C ₁ =1 병렬가산기 C ₁ = ... 중에서 지정된 레지스터의 내용이 내부버스를 통해 ALU 에 입력되면 ALU 는 정해진 연산을 수행하고 결과를 목적지 레지스터로 전송 . ... 위한 하나의 산술 연산 회로 단위6.2 ALU Ⅰ 산술 연산 회로 Ⅱ 논리 연산 회로 Ⅲ 시프트 연산 회로 Ⅳ 산술 논리 시프트 장치 선택선 입력 출력 마이크로연산 S1 S0 Cin
    리포트 | 40페이지 | 1,000원 | 등록일 2018.04.17
  • 8bit 고속연산 ALU
    8bit 고속연산 ALU- 보고서
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.25
  • [Flowrian] 16가지 연산을 수행하는 ALU 회로의 Verilog 설계 및 시뮬레이션 검증
    1. 16가지 연산을 수행하는 ALU 회로의 Verilog 설계 및 검증 동작사양ALU (Arithmetic Logic Unit) 는 2개의 이진수를 입력 받아 덧셈, 뺄셈과 같은 ... 수학적 연산 혹은 AND, OR, NOT 과 같은 논리적 연산 등을 수행하는 조합회로이다.본 문서는 아해 그림과 같은 구조의 ALU 회로를 설계한다.연산에 사용되는 입력 데이터는 ... 4 비트인 a, b, c 단자 3개에 입력되는데 단자 a 의 데이터는 항상 연산에 사용되지만 단자 b 와 c 의 데이터는 둘 중 하나가 선택되어 사용된다.단자 sel[4:0] 의 5개
    리포트 | 8페이지 | 1,000원 | 등록일 2012.08.18
  • 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고서
    Design(1)어떠한 회로를 설계할 것인가 1)1)8BIT ALU-상태별 ALU 명령어-ALU의 동작방법1. ... -ALU의 구조2)booth 곱셈기-부스 알고리즘 동작 테이블부스 알고리즘은 Y0와 Y-1의 값을 이용하여 연산을 결정한다. ... 총 열 두 개의 state를 사용하여 12가지의 연산을 수행하는 ALU를 설계해보도록 하자.또한, 부호가 있는 2진수를 곱하는 booth 곱셈기도 설계해보도록 하자.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 산술논리연산회로(ALU) 실험 예비보고서
    Logic Diagram(2) ALU의 기능에 대해 설명하라.-ALU는 컴퓨터의 중앙처리장치의 일부로서, 컴퓨터 명령어 내에 있는 연산자들에 대해 논리연산을 담당한다. ... ALU의 입력으로는 연산코드를 포함하는 기계명령어, 하나 또는 그 이상의 연산자. 그리고 형식코드로 구성된다. ... 예비 보고서산술논리연산회로1. 실험 제목-산술논리연산회로(ALU : Arithmetic Logic Unit)2. 예비보고서(1) 전가산기(full adder)에 대해 설명하라.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.15
  • CPU의 기본구조, ALU (arithmetic-logic unit) ; 산술논리 연산장치의 개념, 32-bit ALU, Booth`s Algorithm
    1) 연구 분야 현대의 사회인들에게 일상생활에서의 컴퓨터는 필수적인 요소가 되어가고 있다. 현실적으로 컴퓨터가 없으면 할 수 없는 일들이 수두룩하고, 컴퓨터가 모두 사라진다고 하면 현대인의 생활은 마비에 이른다는 가설은 충분히 예상할 수 있는 문제이다. 사실 “컴퓨..
    리포트 | 22페이지 | 4,000원 | 등록일 2011.04.21
  • 디지털 논리회로 실험- 연산논리장치(ALU)
    (ALU)의 수행 연산 종류가산과 감산뿐만 아니라 입력 자료상의 AND와 OR 같은 논리 연산을 수행한다. 74181 4-비트 ALU는 16종류의 단순연산이나 16종류의 논리연산을 ... 연산코드는 수행해야할 연산이 무엇인지를 ALU에게 알려주며, 연산자는 그 연산을 위해 사용된다. ... 고급기능을 확인한다.■ 관련이론☆ 산술논리 연산장치약자로 ALU라고 부르며 중앙처리장치의 일부로써 컴퓨터 명령어 내에 있는 연산자들에 대한 연산과 논리동작을 담당한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.30
  • 32bit ALU(연산기) 베릴로그(Verilog) 소스코드, 시뮬레이션 분석, 블록다이어그램, 고찰
    ~B : B;assign S = A + Bout + F[3];//control input F[3]이 1이면 뺄셈연산을 하므로 Bout은 2‘s complement를 취해준다. ... 프로젝트소개Project #1 : ALU (Arithmetic Logic Unit) 설계1.1. ... 이를 통해 범용 프로세서의 핵심 코어인 32비트 ALU의 구조 및 동작을 이해한다. 그리고 설계 과정 중 필요한 툴의 사용법을 익힌다.
    리포트 | 12페이지 | 1,500원 | 등록일 2009.07.22
  • [디지털 로직, Digital design] 산술논리연산장치(Arithmetic and Logic Unit ; ALU) orcad hierarchical 회로도 & pspice 시뮬레이션
    ALU는 기초적인 디지털 계산기에서부터 컴퓨터의 CPU에 이르기까지 마이크로프로세서라 불릴 수 있는 곳에는 빠질 수 없는 소자로서 해당시스템의 bit만큼의 두수에 대해서 사칙연산과 ... 그간 배운 조합논리회로(Combinational Logic)과 동기식 순차논리회로(Synchronous Sequential Logic)을 종합적으로 포함하고 있는 것은 산술논리회로(ALU-Arithmetic ... 먼저 입력되는 clock에 의해 하나씩 증가되는 4bit의 이진수 A, B에 대해서 산술연산과 논리연산을 수행할 수 있는 회로를 설계한 뒤 연산선택단자와 출력선택단자에 5비트 카운터를
    리포트 | 9페이지 | 1,000원 | 등록일 2004.12.03
  • 디지털회로실험 산술논리연산회로 결과
    이는 이론과 일치한다.: 산술논리연산회로(ALU)의 기능과 구성에 대해서 알게 된 실험이었다. 산술논리연산회로는 산술연산회로와 논리연산회로로 구성된다. ... 참고문헌https://commons.wikimedia.org/wiki/File:2-bit_ALU.svg ... 이번 실험에서는 산술연산회로의 여러 기능 중에서 A를 전달하는 것과 A를 1만큼 증가하는 연산을 해보았고, 논리연산회로는 OR, XOR, AND, NOT 중에서 XOR, AND연산
    리포트 | 4페이지 | 2,500원 | 등록일 2021.04.16
  • 디지털 논리회로 실험 6주차 ALU 예비보고서
    실험 목적4-bit 논리연산장치 (ALU: Arithmetic Logic Unit)에 대해 이해한다.2. ... 산술연산 회로연산장치라 불리는 PC의 부품은CPU내부에 있는 ALU(Arithmetic Logic Unit) 같은 회로를 말합니다.연산장치가 하는 일은 대부분의 사칙연산및 bit연산 ... 실험 준비ALU 74181의 datasheet을 읽고 네 자리 .
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 논리회로실험 비교기와 MUX, ALU
    비교기와 MUX, ALU1. ... 연산자들에 대해 덧셈 · 뺄셈 · 곱셈 · 나눗셈의 사칙연산, AND · OR · SHIFT 등의 논리연산을 하는 장치.- 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 ... 8가지의 다양한 기능을 가진 ALU를 설계해본다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    산술논리 연산장치1) 산술논리 연산장치ALU는 사칙연산을 비롯하여 여러 가지 산술 및 논리연산 기능을 하나의 MSI내에서 이루어지도록 한 소자이다.4비트 ALU인 74X181은 24개의 ... 상용 ALU(산술논리 연산장치)의 기능을 이해한다.라. 상용화된 4비트 ALU를 이용하야 두 수의 가감산을 실험함으로써 ALU의 동작과 응용을 확인한다.2. 이론가. ... 두개의 ALU를 직렬로 접속하면 8비트 연산도 가능하며 이때 연산속도를 높이기 위해 자리올림 전송단자와 자리올림 발생단자를 사용할 수 있다.A=B출력단자는 오픈 콜렉터(출력 4비트
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 서강대학교 디지털논리회로실험 5주차 결과보고서
    대표적인 ALU인 74X181의 동작을 표로 나타내면 다음과 같다. 실험은 XOR를 이용해 Comparator를 구현해보고 그 동작을 확인한다. ... Subtractor(감산회로)는 어떤 수의 2’s complement를 더함으로 구현하거나 subtractor의 구현을 통해 수행할 수 있다.ALUs(연산회로)는 여러 연산 및 논리 ... 구현 및 동작원리 이해2) 기본 gate를 이용한 half-adder 및 full-adder의 구현 및 동작원리 이해-ISE를 이용한 symbol library 생성 및 활용3) 연산회로의
    리포트 | 13페이지 | 1,000원 | 등록일 2021.10.02
  • Relatively Simple CPU의 simulator 활용 프로그래밍
    SUB CLAC각 명령어의 실행 도중 연산을 위해 ALU를 통과하면 아래와 같은 ALU Display를 통해 확인할 수 있었다. ... 다음은 ALU에서 SUB연산을 하는 스냅샷이다.또한 CLU도 확인 할 수 있었다.View Memory연산 횟수의 값은 104번지 출력되는 결과의 값은 103번지 저장하는 프로그램을 ... IF (Z=1) 이면 연산을 종료// 메모리 104번지에 N=2면 연산이 종료됨.SUBJMPZ ENDLOOP: LDAC 100100번지의 값 Load루프의 첫 번째 연산첫 번째 메모리
    리포트 | 6페이지 | 2,500원 | 등록일 2020.11.07
  • 컴퓨터구조 계산기설계보고서
    블록도를 보면 ALU연산 까지 하게 된 다음 값은 다시 MUX(2 to 1 멀티플렉서)를 통해 A레지스터로 들어가게 된다. ... 그런 다음, MUX를 쓴 이유는 ALU연산을 다 끝낸 다음 그 값을 다시 레지스터 A로 보내기 위해서 쓰 Select input은 T2의 타이밍으로 제어가 된다. ... to 1 MUX- SA, ALU 로부터의 데이터 선택742834bit Full Adder- 연산 동작 수행7476J-K Flip-Flop- 발생된 Carry 저장 및 SC7474D
    리포트 | 9페이지 | 1,500원 | 등록일 2020.01.01
  • 예비보고서(7 가산기)
    이와 같은 동작은 4차례 반복 수행하면 시프트 레지스터에는 곱셈 결과가 기록될 것이다.(8) 논리연산장치 (ALU)논리연산장치는 가산, 감산을 비롯한 여러 가지의 연산을 할 수 있는 ... 그림 8에는 비트 4비트 ALU 74181과 이에 대한 16가지의 논리연산이 도시되어 있다.그림 8(a)의 ALU에서A_3{A_2}{A_1}{A_0은 입렵 A이고B_3{B_2}B_1 ... 선택 입력S_3{S_2}{S_1}{S_0를 변화시킬 수 있는 방법이 16가지 이므로 ALU는 16가지의 동작을 행할 수 있게 되며, 이 때 M의 값에 따라 high(1)이면 그림
    리포트 | 9페이지 | 2,000원 | 등록일 2020.10.14
  • CPU의 논리회로 구성에서 연산장치와 제어장치에 대해 설명하세요
    논리적 작업: 산술 연산과 함께 ALU는 AND, OR 및 NOT를 포함한 논리 연산을 처리한다. ... 두 개의 이진수를 입력으로 받아 다양한 논리 게이트와 회로를 사용하여 처리하고 결과를 생성한다.산술 연산 외에도 ALU는 논리 연산을 처리한다. ... 산술 연산: ALU는 덧셈, 뺄셈, 곱셈, 나눗셈과 같은 기본 산술 연산을 수행한다. 그것은 수치 데이터를 처리하고, 제어 장치가 제공하는 지시에 따라 피연산자를 조작한다.b.
    리포트 | 4페이지 | 6,000원 | 등록일 2023.08.31
  • 인하대 VLSI 설계 Microprocessor 프로젝트 결과보고서
    ALU10. Demux+FF+ALU11. SRAM + Demux+FF+ALU12. 고찰13. ... /F + ALU Layout, Netlist, Simulation 결과 및 분석SRAM에서 나온 출력을 가지고 연산하는 layout들을 하나의 block으로 합쳤다. ... 있으며 그 구성을 역할에 따라 나누면 [Fig 1.1]과 같다. eq \o\ac(○,1)레지스터(Resister): CPU 내부의 기억장치로 ALU연산 결과를 임시로 저장하는
    리포트 | 52페이지 | 3,000원 | 등록일 2023.03.15 | 수정일 2023.05.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:02 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대